毕业设计(论文)基于现代DSP技术的IIR滤波器的实现_第1页
毕业设计(论文)基于现代DSP技术的IIR滤波器的实现_第2页
毕业设计(论文)基于现代DSP技术的IIR滤波器的实现_第3页
毕业设计(论文)基于现代DSP技术的IIR滤波器的实现_第4页
毕业设计(论文)基于现代DSP技术的IIR滤波器的实现_第5页
已阅读5页,还剩18页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、编号:03063023南阳师范学院2003届毕业生毕业论文(设计)题 目: 基于现代dsp技术的iir滤波器的实现 完 成 人: 班 级: 2003-03 学 制: 4 年 专 业: 电子信息与科学技术 指导教师: 完成日期: 2007-03-31 目 录摘要 1 引言(1)1.1 数字滤波器(1)1.2 dsp builder软件的简介(2)1.3 课题的主要意义(2)2 设计总体方案.(3)3 数字滤波器的基本概念(3)3.1数字滤波器的基本概念(3)3.2数字滤波器的分类(3)3.3系统的传递函数(4)3.4 基本结构运算单元(5)4 iir数字滤波器(6)4.1 iir数字滤波器的基本

2、结构(6)4.1.1 iir数字滤波器基本结构分类(6)4.1.2 iir滤波器的结构举例(6)4.2 iir数字滤波器设计过程(10)4.3 iir数字滤波器设计方法(10)5 使用dsp_builder设计iir滤波器(10)5.1 建立模型(10)5.2 iir滤波器系数的计算(13)5.3 设置系数(15)5.4 simulink仿真(15)5.5 由simulink模型转成vhdl文件(16)5.6 适配下载(16)6 总结及展望(16)参考文献(17)abstract(18)基于现代dsp技术的iir数字滤波器的实现作 者:祁媛媛 指导老师:张 帅摘要:基于现代dsp技术的种种优势

3、,该设计选择了以pld器件为平台,在其上构建iir滤波器的设计方案。本文介绍了一个以altera公司可编程逻辑芯片cyclone1c12为控制核心,利用dsp_builder软件设计iir滤波器。关键词:iir;滤波器;fpga;dsp_builder1 引言1.1 数字滤波器数字滤波器(digital filter)是由数字乘法器、加法器和延时单元组成的一种装置。其功能是对输入离散信号的数字代码进行运算处理,以达到改变信号频谱的目的。由于电子计算机技术和大规模集成电路的发展,数字滤波器已可用计算机软件实现,也可用大规模集成数字硬件实时实现。数字滤波器是一个离 散时间系统(按预定的算法,将输入

4、离散时间信号转换为所要求的输出离散时间信号的特定功能装置)。应用数字滤波器处理模拟信号时,首先须对输入模拟信号进行限带、抽样和模数转换。数字滤波器输入信号的抽样率应大于被处理信号带宽的两倍,其频率响应具有以抽样频率为间隔的周期重复特性,且以折叠频率即12抽样频率点呈镜像对称。为得到模拟信号,数字滤波器处理的输出数字信号须经数模转换、平滑。数字滤波器具有高精度、高可靠性、可程控改变特性或复用、便于集成等优点。数字滤波器在语言信号处理、图像信号处理、医学生物信号处理以及其他应用领域都得到了广泛应用1。数字滤波器有低通、高通、带通、带阻和全通等类型。它可以是时不变的或时变的、因果的或非因果的、线性的

5、或非线性的。应用最广的是线性、时不变数字滤波器.1.2 dsp builder软件的简介fpga的应用是eda技术有机融合软件硬件电子设计技术、soc和asic设计,以及对自动设计与自动实现最典型的诠释。dsp builder是fpga制造商altera推出得一个数字信号处理(dsp)开发工具,它集成在matlab设计环境的simulink中。altera的dsp系统体系解决方案是一项具有开创性的解决方案。以往的matlab工具的使用只是作为dsp算法的建模和基于纯数学的仿真,其数学模型无法为硬件dsp应用系统直接产生实用的程序代码,其仿真测试的结果也仅仅是基于数学的算法结果。而以往fpga所

6、需要传统的基于硬件描述语言(vhdl)的设计由于要考虑fpga硬件的延时与vhdl递归算法的衔接,以及补码运算和乘积结果截取等问题,相当繁杂。对于dsp builder而言,顶层的开发工具是matlab/simulink,整个的开发流层几乎可以在同一环境中完成。真正实现了自顶向下的设计流程,包括dsp系统的建模、系统级仿真、设计模型向vhdl硬件描述语言代码的转换、rtl(逻辑综合register transfer level)级功能仿真测试、编译适配和布局布线、时序实时仿真直至对dsp目标器件的编程配置。整个设计流程将系统描述和硬件实现有机地融为一体,充分显示了现代电子设计自动化开发的特点与

7、优势23。1.3 设计的主要意义数字滤波在dsp(数字信号处理)中占有重要地位。数字滤波器按实现的网络结构或者从单位脉冲响应,分为iir(无限脉冲响应)和fir(有限脉冲响应)滤波器。如果iir滤波器和fir滤波器具有相同的性能,那么通常iir滤波器可以较低阶数获得较高的选择性,执行速度更快,所用的存储单元更少,所以既经济又高效。一般说来,从使用要求上来看,在对象为要求不敏感的场合,如语音通信等,选用iir滤波器较为合适,这样可以充分发挥其经济、高效的特点。用传统的软件对其进行分析需要很多条件,而运用dsp_builder软件可以简化很多步骤,整个的开发流层几乎可以在同一环境中完成整个设计流程

8、将系统描述和硬件实现有机地融为一体,充分显示了现代电子设计自动化开发的特点与优势。2 设计总体方案本文主要是利用现代dsp技术来实现iir滤波器,具体是使用eda软件dsp_builder、quartus、matlab结合数字信号处理中iir滤波器的知识来设计iir滤波器。首先需要熟悉iir滤波器的基本原理,然后使用dsp_builder、matlab软件进行算法模型设计,设计完成后在simulink工具箱中进行仿真,观察设计是否正确。如果正确,则使用quartus软件对设计好的模型进行转化,将其转化为vhdl语言,编译、仿真,全部正确后,下载到fpga芯片上,利用pld器件的可重构性,在芯片

9、上就构建了iir滤波器的硬件结构,就可以对输入的信号进行相应的滤波处理。3 数字滤波器的基本概念3.1 数字滤波器的基本概念数字滤波器就是对不同频率的数字信号从频域进行信号分离的时序电路或器件或一段程序。这里所讲的数字滤波器都是一个离散的lti系统,离散的lti系统模型189:图1 数字滤波器lti模型x(n)、y(n)分别是系统的输入输出序列h(e)是系统本身的特性(转移算子)。系统对于输入的离散序列x(n)总有对应的输出y(n)。x(n)是离散的信号,每个x(i)可能有不同的幅值,有了前后不同幅值的变化,就可以引出离散信号的频率这一性质。3.2 数字滤波器的分类数字滤波器按功能分为低通、高

10、通、带通、带阻、全通滤波器。 (1) (2)由序列傅氏变换公式可知,离散信号的傅氏变换是的函数,周期为2。只需研究,不需要在整个轴上分析其信号。所以,数字滤波器的通带分布如下:图2 数字滤波器的通带分布3.3 系统的传递函数对iir数字滤波器的差分方程的一般形式为 (3)其中两边同时进行双边z变换得: (4)得iir数字滤波器得传递函数: (5)3.4 基本结构运算单元加法器:图3 加法器乘法器:图4 乘法器延迟单元:图5 延迟单元4 iir数字滤波器4.1 iir数字滤波器的基本结构4.1.1 iir数字滤波器基本结构分类直接型:是按给出的差分方程直接实现的。级联型:将系统函数的h(z)因式

11、分解为较低的二节阶的乘积,每个双二阶用一个直接型实现,整个系统用双二阶的级联实现。并联型:将系统函数的h(z)因式分解为双二阶之和,每个双二阶用一个直接型实现,整个系统函数作为二阶节的并联网络实现1 11。4.1.2 iir滤波器的结构举例下面举一个4阶差分方程为例,叙述三种结构。设有4阶差分方程: (6) (7)对方程两边同时取双边z变换得传递函数h(z),传递函数直接得到信号流图: (8)输出序列的最高差分阶数4即系统的阶数。由梅森公式得信号流图:图6 直接i型信号流程图整个滤波器由两个网络级联,级联系统得总的输入输出和子系统的级联次序无关: (9)从而上述4阶系统的信号流图级联次序可以交

12、换:图7 直接ii型信号流程图级联型结构是将系统传递函数h(z)写成具有实系数的二阶的乘积。将分子和分母多项式分解为各自的根,然后将一对复数共扼根(或者任意两个实数根)组合成二阶多项式。例如h(z)为n阶方程,n为偶数: (10)式中k=n/2,bk,1,bk,2,ak,1,ak,2都是代表实数的二阶节系数。这些二阶节是: (11)上述4阶系统的级联形式是:图8 级联型信号流程图应该特别指出:级联型结构的灵敏度特性优于直接型和正准型结构。每一级分子的系数确定一对零点,分母的系数确定一对极点,因为子网络的零极点也即整体网络的零极点,所以整个系统的零极点都可以准确的由每一级的系数来调整和控制,这样

13、便于调整滤波器的频率响应性能。级联结构具有最少的存储器。并联结构是将n阶的系统函数h(z)利用部分分式展开写成二阶节之和: (12)其中每一个二阶节: (13)上述4阶iir滤波器用并联形式实现:图9 4阶iir滤波器用并联形式并联支路的极点也是整个网络的极点,而并联支路的零点却不是整个网络的零点,因此并联网络能独立的调整系统的极点的位置,但不能控制零点。并联结构的灵敏度由于直接型和正准型,运算累积误差比级联型小。4.2 iir数字滤波器设计过程 按设计任务,确定滤波器性能要求,制定技术指标。 用一个因果稳定的离散系统的系统函数h(z)逼近此性能指标。 利用有限精度算法实现此系统函数:如运算结

14、构、字长的选择等。 实际技术实现:软件法、硬件法或dsp芯片法。4.3 iir数字滤波器设计方法iir数字滤波器的系统函数是z的有理函数,可表示为: (14)系统函数的设计就是要确定系数ai,bi或者零极点ci,di,以使滤波器满足给定的性能要求。这种设计方法一般由3种方法:零极点位置累试法。当滤波器性能未达到要求时,通过多次改变零极点位置来达到要求。此法只适用于简单滤波器。用模拟滤波器理论来设计数字滤波器。在iir数字滤波器的设计中较多的采用这种方法。5 使用dsp_builder设计iir滤波器 5.1 建立模型 使用dsp_builder设计iir滤波器;4阶级联型iir滤波器设计参照下

15、图,图10 4阶级联型iir滤波器流程图建立一个4阶的级联型iir滤波器模型,该模型共由两节2阶直接型iir滤波器构成,见下图。 图11 4阶级联型iir滤波器模型中各个模块的参数设置如下:x模块:(altbus)库:altera dsp builder中bus manipulation库参数“bus type”设为“signed fractional”(有符号小数)参数“node type”设为“input port”参数“number of bits.”设为“2”参数“.number of bits”设为“8”y模块:(altbus)库:altera dsp builder中bus man

16、ipulation库参数“bus type”设为“signed fractional”参数“node type”设为“output port”参数“number of bits.”设为“4”参数“.number of bits”设为“23”busconv模块:(busconversion)库:altera dsp builder中bus manipulation库参数“input bus type”设为“signed fractional”参数“input number of bits.”设为“4”参数“input .number of bits”设为“18”参数“output bus typ

17、e”设为“signed fractional”参数“output number of bits.”设为“2”参数“output .number of bits”设为“15”busconv1、busconv4模块:(busconversion)库:altera dsp builder中bus manipulation库参数“input bus type”设为“signed fractional”参数“input number of bits.”设为“3”参数“input .number of bits”设为“15”参数“output bus type”设为“signed fractional”参

18、数“output number of bits.”设为“2”参数“output .number of bits”设为“15”busconv2、busconv3、busconv5模块:(busconversion)库:altera dsp builder中bus manipulation库参数“input bus type”设为“signed fractional”参数“input number of bits.”设为“4”参数“input .number of bits”设为“25”参数“output bus type”设为“signed fractional”参数“output number

19、 of bits.”设为“2”参数“output .number of bits”设为“15”feedbackadder、feedbackadder2模块:(parallel adder subtractor)库:altera dsp builder中arithmetic库参数“number of inputs”设为“2”参数“add(+)sub(-)”设为“+-”feedbackadder1、feedbackadder3模块:(parallel adder subtractor)库:altera dsp builder中arithmetic库参数“number of inputs”设为“2”

20、参数“add(+)sub(-)”设为“+”feedforwardadder、feedforwardadder1模块:(parallel adder subtractor)库:altera dsp builder中arithmetic库参数“number of inputs”设为“3”参数“add(+)sub(-)”设为“+”delay、delay1、delay2、delay3模块:(delay)库:altera dsp builder中storage库参数“depth”设为“1”参数“clock phase selection”设为“1” g、a11、a12、a21、a21、b10、b11、b

21、12、b20、b21、b22模块:(gain)库:altera dsp builder中arithemtic库参数“map gain value to bus type”设为“signed fraction”参数“gain value number of bits.”设为“2”参数“.gain value number of bits”设为“10”参数“number of pipeline levels”设为“0”5.2 iir滤波器系数的计算假设4阶iir滤波器的设计指标如下: 采样频率fs为50mhz; 滤波器类型为高通(highpass); 滤波器fc为5mhz; 滤波器设计类型为but

22、terworth。打开matlab中的fdatool进行滤波器系数计算,见下图。图12 计算iir滤波器系数设置阶数为4,输入相关参数指标,点击“design filter”按钮完成iir滤波器设计,接着打开相关分析。图13显示的是iir滤波器的相频特性,图14显示了iir滤波器的阶跃响应。图13 iir滤波器的相频特性图14 滤波器的阶跃响应5.3 设置系数 选择fdatool的“file”菜单中的“export”,导出iir滤波器系数。对于级联型iir滤波器,导出的系数分成两个部分:sos矩阵和g增益,其中对于多个级联的iir滤波器,sos矩阵为一个二维矩阵。 在此先把sos矩阵和g导出到

23、matlab的工作区(workspace),设置上面级联型iir滤波器中的各个增益模块的“gain value(增益值)”。g模块的“gain value”是g;b10模块为sos(1,1),b11模块为sos(1,2),b12模块为sos(1,3);a11模块为sos(1,5),a12模块为sos(1,6);b20模块为sos(2,1),b21模块为sos(2,2),b22模块为sos(2,3);a21模块为sos(2,5),a22模块为sos(2,6)。设置完增益值后更新一下模型,iir滤波器模型的系数就全部设置完成了。5.4 simulink仿真 设置simulink仿真参数“stop

24、time(停止时间)”为1e-6,类型为“fixed-step”。模型中的simulink仿真模块的参数设置如下:step模块:(step)库:simulink中sources库参数“step time”设为“1e-7”参数“initial value”设为“0”参数“final value”设为“1”参数“sample time”设为“2e-8”“interpret vector parameters as 1-d”设为“”scope模块:(scope)库:simulink中sinks库参数“number of axes”为“2”启动仿真。由于step模块生成了一个阶跃函数,故仿真结果为ii

25、r滤波器的阶跃响应,见图15。图15 仿真 iir滤波器的阶跃响应5.5 由simulink模型转成vhdl文件由simulink模型转成vhdl打开singalcompiler,选定对应器件,把模型转成vhdl文件,并在signalcompiler中选择quartusii进行综合。5.6 适配下载在quartusii中打开signalcompiler建立的quartus项目文件,选择具体器件,锁定管脚,完成适配后下载至fpga开发板中。在fpga开发板上加入高频信号源,验证iir滤波器的高通滤波效果。6 总结及展望经过一个学期的努力,建立了一个iir数字滤波器模型,得到了初步的分析结果,在毕

26、业设计中,通过接阅图书馆的书籍,利用网络查找并学习了很多与dsp builder有关的软件, iir数字滤波器相关的资料、论文和期刊,在一定程度上了解并掌握了iir数字滤波器的基础知识,对iir数字滤波器、相关技术以及产业发展方向有了一定的了解;通过计算机的操作,对软件dsp builder有了一定的了解,.在整个毕业设计中也发现了许多的不足和缺点。特别是对dsp builder软件的使用上,发现比原来的困难要大的多,很多东西都很难找到现成的答案,很多都要靠自己的摸索,个中辛苦自己是最了解的了。基于dsp技术的iir数字滤波器设计,是一个涉及面比较广的的课题,不仅仅需要掌握iir数字滤波器和d

27、sp builder操作的知识,更需要将这些知识结合起来,综合分析运用。同过对dsp builder的学习和建立iir数字滤波器模型的过程,发现实践是非常重要的,往往看了觉的会了在实际应用中还是会发现各种各样的问题,经验是在不断地实际操作中慢慢积累起来的,在实验中勇于探究和积累,才能使设计趋近完美。通过这次毕业设计,将大学四年所学的理论知识和实践应用结合起来,对自己所学的知识有了更为理性的认识,并进一步了解到自身知识水平的局限,从而促使自己更加努力地汲取知识并加以消化。自己的自学与钻研能力有所加强,并充分地体会到了理论和实践之间的差距,理论结合实际的重要性,可谓受益匪浅。参 考 文 献1.程佩

28、青.数字信号处理教程【m】,清华大学出版社1999年2.胡广书.数字信号处理理论、算法与实现【m】,清华大学出版社,20023.潘松,黄继业,王国栋.现代dsp技术【m】,西安电子科技大学出版,20044.terrell t j, an introduction to digital filters【m】,new york: wiley,19805.齐海兵,平冠军,陶文超.无限冲击响应滤波器的设计与实现【j】,微机算计信息2006 ,22 (102):8485。6.倪向东.基于fpga的四阶iir数字滤波器【j】,电子技术应用,2003,12:66697.张晓光,徐钊.iir数字滤波器的优化设

29、计和dsp实现【j】,电子工程师,2006,32(3):37398.王冬,王华.基于matlab的iir数字滤波器的设计技术【j】,应用能源技术,2006,3:47509.闫健,宋立新.改进的直接型iir数字滤波器的dsp实现【j】,哈尔滨理工大学学报,2006,11(4):6062。10.price m,waters m,sandler m, synthesis and performance of a new class of lmplementation for high-order recursive digital filter【j】。iee procvis. image signa

30、l process ,1998,145(2):817。11.hatamian m, parhi k a. 85mhz fourth-order programmable iir digital filter chip【j】.ieee journal of solid-state circuits,1992,27(2):175-183.realization of iir numerical filter based on modern dsp technologyabstract:bacuse of modern dsp technology advantage, this design bu

31、ild iir numerical filter based on the platform of pld component chosed. this paper introduced a kind of iir numerical filter designed based on the dsp builder software and using cyclone1c12 programmable logic chip produced by altera company as control core.key words:iir filter;fpga;dsp builder附录:源程序

32、:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_signed.all;library dspbuilder;use dspbuilder.dspbuilderblock.all;library lpm;use lpm.lpm_components.all;entity singt is port(clock:in std_logic;sclrp :in std_logic:=0;inputa:in std_logic;outputb:out std_logic_vector(9 downto 0) );end singt

33、;architecture adspbuilder of singt issignalsaoutputbo:std_logic_vector(9 downto 0);signal sclr :std_logic:=0;signala0w:std_logic;signala1w:std_logic_vector(10 downto 0);signala2w:std_logic_vector(11 downto 0);signala3w:std_logic_vector(10 downto 0);signala4w:std_logic_vector(8 downto 0);beginassert (10) report altversion severity note;outputb=saoutputbo;- global reset circuitry for the input global reset sclrpsclr=sclrp;- inpu

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论