通信原理课设2FSK数字信号频带传输系统的设计与建模_第1页
通信原理课设2FSK数字信号频带传输系统的设计与建模_第2页
通信原理课设2FSK数字信号频带传输系统的设计与建模_第3页
通信原理课设2FSK数字信号频带传输系统的设计与建模_第4页
通信原理课设2FSK数字信号频带传输系统的设计与建模_第5页
已阅读5页,还剩11页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、武汉理工大学通信原理课程设计说明书目录1 绪论11.1 软件介绍11.2 题目分析及意义22 2FSK的调制32.1 2FSK的产生方法32.2 2FSK的调制原理图42.3 2FSK调制的建模方框图43 2FSK的解调53.1 2FSK的解调方法53.2 2FSK调制的建模方框图74 2FSK仿真84.1 VHDL建模84.2 调制仿真84.3 解调仿真95 心得体会106 参考文献10附录1 调制VHDL代码11附录2 解调VHDL代码12附录3 本科生课程设计成绩评定表14151 绪论1.1 软件介绍Xilinx公司的ISE软件平台具有界面友好、操作简单的特点,再加上Xilinx的FPG

2、A芯片占有很大的市场,使其成为非常通用的FPGA工具软件。ISE作为高效的EDA设计软件工具,与第三方软件扬长补短,使软件功能越来越强大,为使用者提供了更加丰富的Xilinx设计资源。ISE的主要功能包括设计输入、综合、仿真、实现和下载,涵盖了可编程逻辑器件开发的全过程,下面简要说明各功能的作用:1、设计输入:ISE提供的设计输入工具包括用于HDL代码输入和查看报告的ISE文本编辑器(The ISE Text Editor),用于原理图编辑的工具ECS(The Engineering Capture System),用于生成IP Core的Core Generator,用于状态机设计的Stat

3、eCAD以及用于约束文件编辑的Constraint Editor等。2、综合:ISE的综合工具不但包含了Xilinx自身提供的综合工具XST,同时还可以内嵌Mentor Graphics公司的Leonardo Spectrum和Synplicity公司的Synplify,实现无缝链接3、仿真:ISE本身自带了一个具有图形化波形编辑功能的仿真工具HDL Bencher,同时又提供了使用Model Tech公司的Modelsim进行仿真的接口。4、实现:此功能包括了翻译、映射、布局布线等,还具备时序分析、管脚指定以及增量设计等高级功能。5、下载:下载功能包括了BitGen,用于将布局布线后的设计文

4、件转换为位流文件,还包括了ImPACT,功能是进行设备配置和通信,控制将程序烧写到FPGA芯片中去。1.2 题目分析及意义数字调制技术是现代通信的一个重要内容,在数字通信系统中,由于数字信号具有丰富的低频成份,不宜进行无线传输或长距离电缆传输,因而需要将基带信号进行数字调制(Digital Modulation)。数字调制同时也是数字信号频分复用的基本技术。数字调制与模拟调制都属于正弦波调制,但是,数字调制是调制信号为数字型的正弦波调制,因而数字调制具有自身的特点,一般说来数字调制技术分为两种类型:一是把数字基带信号当作模拟信号的特殊情况来处理;二是利用数字信号的离散取值去键控载波,从而实现数

5、字调制。后一种方法通常称为键控法。例如可以对载波的振幅、频率及相位进行键控,便可获得振幅键控(ASK)、移频键控(FSK)、相移键控(PSK)等调制方式。移频键控(FSK)是数字信息传输中使用较早的一种调制形式,它由于其抗干扰及衰落性较好且技术容易实现,因而在集散式工业控制系统中被广泛采用。以往的键控移频调制解调器采用“定功能集成电路+连线”式设计;集成块多,连线复杂,容易出错,且体积较大,本设计采用Lattice公司的FPGA芯片,有效地缩小了系统的体积,降低了成本,增加了可靠性,同时系统采用VHDL语言进行设计,具有良好的可移植性及产品升级的系统性。2 2FSK的调制2.1 2FSK的产生

6、方法频移键控即2FSK(FrequencyShift Keying)数字信号对载波频率调制,主要通过数字基带信号控制载波信号的频率来来传递数字信息。在二进制情况下,“1”对应于载波频率,“0”对应载波频率,但是它们的振幅和初始相位不变化。2FSK信号产生的两种方法:一、直接调频法用二进制基带矩形脉冲信号去调制一个调频器,使其输出两个不同频率的码元。一般采用的控制方法是:当基带信号为正时(相当于“1”码),改变振荡器谐振回路的参数(电容或者电感数值),使振荡器的振荡频率提高(设为f1);当基带信号为负时(相当于“0”码),改变振荡器谐振回路的参数(电容或者电感数值),使振荡器的振荡频率降低(设为

7、f2);从而实现了调频。这种方法产生的调频信号是相位连续的,虽然实现方法简单,但频率稳定度不高,同时频率转换速度不能做得太快,但是其优点是由调频器所产生的2FSK信号在相邻码元之间的相位是连续的。二、频率键控法频率键控法也称频率选择法。它有两个独立的振荡器,数字基带信号控制转换开关,选择不同频率的高频振荡信号实现2FSK调制。图1 频率健控法原理框图键控法产生的 FSK信号频率稳定度可以做得很高并且没有过渡频率,它的转换速度快,波形好。频率键控法在转换开关发生转换的瞬间,两个高频振荡的输出电压通常不可能相等,于是UFSK(t)信号在基带信息变换时电压会发生跳变,这种现象也称为相位不连续,这是频

8、率键控特有的情况。本次课程设计采用频率键控法产生2FSK信号。2.2 2FSK的调制原理图下图是利用两个独立分频器,以频率键控法来实现2FSK调制的原理电路图。图中,与非门3和4起到了转换开关的作用。当数字基带信号为“1”时,与非门4打开,f1输出,当数字基带信号为“0”时,与非门3打开,f2输出,从而实现了2FSK调制。图2 利用独立分频器的键控法实现2FSK调制2.3 2FSK调制的建模方框图2FSK调制方框图和调制电路的VHDL建模符号如下图所示。2FSK调制的核心部分包括分频器、二选一选通开关等。图中的两个分频器分别产生两路数字载波信号;二选一选通开关的作用是:以基带信号作为控制信号,

9、当基带信号为“0”,选通载波f1;当基带信号为“l”时,选通载波f2。从选通开关输出的信号就是数字2FSK信号。图中没有包含模拟电路部分,调制信号为数字信号。图3 2FSK调制方框图图4 2FSK调制电路的VHDL建模符号3 2FSK的解调3.1 2FSK的解调方法数字频率键控(2FSK)信号常用的解调方法有如下两种:一、同步(相干)解调法在同步解调器中,有上、下两个支路,输入的FSK信号经过和两个带通滤波器后变成了上、下两路ASK信号,之后其解调原理与ASK类似,但判决需对上、下两支路比较来进行。假设上支路低通滤波器输出为X1,下支路低通滤波器输出为X2。判决准则是: X1- X20 判定输

10、入为f1信号 X1- X20 判定输入为f2信号图5 相干解调法原理框图接收信号经过并联的两路带通滤波器进行滤波与本地相干载波相乘和包络检波后,进行抽样判决,判决的准则是比较两路信号包络的大小。假设上支路低通滤波器输出为,下支路低通滤波器输出为,则判决准则是:如果上支的信号包络较大,则判决为“1”;反之,判决为收到为“0”。 二、包络检波(非相干)解调法输入的FSK中频信号分别经过中心频为、的带通滤波器,然后分别经过包络检波,包络检波的输出在t=kTb时抽样(其中k为整数),并且将这些值进行比较。根据包络检波器输出的大小,比较器判决数据比特是1还是0。图6 非相干解调法原理框图3.2 2FSK

11、调制的建模方框图FSK解调方框图和FSK解调电路的VHDL建模符号如下图所示,该模型与ASK的解调模型类似,其核心部分由分频器、寄存器、计数器和判决器组成。图中分频器的分频系数取值对应图5中的分频器1和分频器2中较小的分频系数值,也就是说FSK解调器的分频器输出为较高的那个载波信号。由于f1和f2的周期不同,若设f1=2f2,且基带信号电平“1”,对应f1;基带信号电平“0”对应载波f2,则图中计数器以f1为时钟信号,上升沿计数,基带信号“1”码元对应的计数个数为1/f1,基带信号“0”码元对应的计数个数为1/f2。计数器根据两种不同的计数情况,对应输出“0”和“1”两种电平。判决器以1为时钟

12、信号,对计数器输出信号进行抽样判决,并输出基带信号。图中没有包含模拟电路部分,调制信号为数字信号形式。基带信号调制信号图7 2FSK解调方框图图8 2FSK解调电路的VHDL建模符号4 2FSK仿真4.1 VHDL建模图9 2FSK调制解调VHDL建模图4.2 调制仿真工程编译通过后,必须对其功能和时序性能进行仿真测试,以验证设计结果是否满足设计要求。整个时序仿真测试流程一般有建立波形文件、输入信号节点、设置波形参数、编辑输入信号、波形文件存盘、运行仿真器和分析方针波形等步骤。假设需要调制的二进制序列为0101101。 图10 2FSK调制仿真图4.3 解调仿真工程编译通过后,必须对其功能和时

13、序性能进行仿真测试,以验证设计结果是否满足设计要求。整个时序仿真测试流程一般有建立波形文件、输入信号节点、设置波形参数、编辑输入信号、波形文件存盘、运行仿真器和分析方针波形等步骤。以2FSK调制的输出作为2FSK解调的输入。图11 2FSK解调仿真图5 心得体会传统的2FSK调制解调方式都是采用硬件电路实现,电路复杂、调试不便。文中采用硬件描述语言设计的基于FPGA调制解调器,设计灵活、修改方便,有效地缩小了系统的体积,增加了可靠性,同时系统采用VHDL语言进行设计,具有良好的可移植性及产品升级的系统性。由于数字调制技术与FPGA的结合,使得通信系统的性能得到了迅速的提高。通过FSK系统调制与

14、解调建模,以ISE10.1软件为平台,基于VHDL语言,达到了预期的仿真结果。通过本次设计,我了解了频移键控数字通信系统的用途及工作原理,熟悉了2FSK基于VHDL语言的设计步骤,提高了绘图能力,锻炼了设计实践和语言组织能力,培养了自己独立设计能力。在此次的课程设计当中,由于使用ISE软件的人很少,遇到的问题基本都是靠自己上网查资料摸索解决的,虽然很辛苦,但是在这当中却学到了很多东西。通过对课程设计的操作,让我看到了自己的不足,不仅学到了新的知识,也学到了一些分析问题和解决问题的能力。其实课程设计的目的就是让我们发现问题,然后认真冷静的分析问题,最后解决问题,并在解决问题的过程中学习知识。这次

15、课程设计培养了自己解决问题的能力,掌握和巩固了书本上的理论知识,综合运用了本专业的相关知识,对知识有了系统的重新认识。可以说真正做到了理论与实践相结合。这次课程设计的顺利完成可以为以后的毕业设计、工作打下了基础,并且我也深深体会到自己还有很多东西都不懂,需要在以后的时间多花点时间给自己学习,为未来的就业做好充分准备。6 参考文献1 樊昌信等.通信原理(第五版).北京:国防工业出版社,20012 刘昌华.数字逻辑EDA设计与实践. 北京:国防工业出版社,2006 3 苏青,张红.基于CPLD/FPGA技术的数字频率设计.北京:清华大学出版社,20074 王小军.VHDL简明教程. 北京:清华大学

16、出版社,19975 潘松,黄继业.EDA技术实用教程.北京:科学出版社.20056 黄智伟.FPGA系统设计与实践.北京:电子工业出版社,2005附录1 调制VHDL代码library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity FSK is Port ( clk : in STD_LOGIC; start : in STD_LOGIC; x : in STD_LOGIC; y : out STD_LOGIC);end FSK;archi

17、tecture one of FSK issignal q1:integer range 0 to 11; signal q2:integer range 0 to 3; signal f1,f2:std_logic; beginprocess(clk) beginif clkevent and clk=1 then if start=0 then q1=0; elsif q1=5 then f1=1;q1=q1+1; elsif q1=11 then f1=0;q1=0; else f1=0;q1=q1+1; end if;end if;end process;process(clk) be

18、ginif clkevent and clk=1 then if start=0 then q2=0; elsif q2=0 then f2=1;q2=q2+1; elsif q2=1 then f2=0;q2=0; else f2=0;q2=q2+1; end if;end if;end process;process(clk,x) beginif clkevent and clk=1 then if x=0 then y=f1; else y=f2; end if;end if;end process;end one;附录2 解调VHDL代码library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论