




版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、唐山学院EDA技术课程设计题 目智力竞赛抢答器系(部)信息工程系班 级 姓 名 学 号 指导教师2015年 6 月 15日至 6月 26 日共 2 周2015 年 6 月 25 日目 录1前言02 EDA技术介绍13 VHDL 简介23.1硬件描述语言 VHDL 23.2 VHDL语言的特点 34 Quartus II软件简介44.1软件介绍44.2 Quartus II数字系统开发流程 45设计原理65.1设计思路65.2抢答鉴别模块 65.2.1抢答电路的设计65.2.2仿真波形85.3计时模块85.3.1计时模块设计 85.3.2仿真波形 105.4蜂鸣器模块105.4.1蜂鸣器模块设计
2、 105.4.2仿真波形115.5数码管显示模块115.5.1数码管显示模块的设计115.5.2仿真波形 125.6动态显示模块125.6.1数码管显示模块的设计 125.7主原理图145.8硬件测试156总结1718参考文献1前言我国科技迅速发展,而电子行业这个新兴产业的发展更是日新月异, 在很多 行业和竞争场合都要求有公正的快速的裁决,例如体育竞技、证券、股票交易, 以及各种智力竞赛等。在现代社会中智力竞赛更是作为一种生动活泼的教育形式 和方法来引起观众和参赛者的积极性。在各种各样的竞赛中,往往有多组的选手 参加,为了竞赛的公平,就要求人们能够设计一种电路来满足需求。抢答器就是为智力竞赛参
3、赛者答题时进行抢答而设计的一种优先判决器电路。它给人们生 活,工作等方面带来极大地方便。现今,形式多样、功能完备的抢答器已广泛应 用于电视台、商业机构、学校有着、企事业单位及社会团体组织中,它为各种知 识竞赛增添了刺激性、娱乐性,在一定程度上丰富了人们的业余生活。本设计介绍了一种用EDA技术来设计四路抢答器的方法。该抢答器为全数 字集成电路设计,具有分组数多、分辨率高等优点。该抢答器除具有基本的抢答 功能外,还具有优先选择、定时计时及复位等功能,具有很强的实用性与可行性。2 EDA技术介绍EDA是电子设计自动化(Electronic Design Automation)缩写。EDA技术是指 以
4、计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以 将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程的计 算机上自动处理完成。现在对EDA的概念或范畴用得很宽。包括在机械、电子、 通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA技术已在各大公司、企事业单位和科研教学部门广泛使用。例如 在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟, 都可能涉及到 EDA技术。传统的设计方法
5、采用自底向上的设计方法,一般先按电子系统的具 体功能要求进行功能划分,然后对每个子模块画出真值表,用卡诺图进行手工逻 辑简化,写出布尔表达式,画出相应的逻辑线路图,再据此选择元器件,设计电 路板,最后进行实测与调试,由于无法进行硬件系统功能仿真, 如果某一过程存 在错误,查找和修改十分不便,所以这是一种费时、费力的设计方法,而现代电子设计技术(EDA)是自顶向下且先进高效的。在电子产品的设计理念、设计方式、 系统硬件构成、设计的重用性、知识产权、设计周期等方面,EDA技术具有一定的优势。数字逻辑电路实验大多数都可以在计算机上利用EDA软件进行设计、仿真,只有极少量外部配件不能在计算机上进行仿真
6、。因此,在实验前期阶段,即实验预习阶段的主要应用工具是 EDA软件,利用EDA软件可以设计、仿真实验课题, 进行虚拟实验。通过虚拟实验使实验者在进入真实实验前就能对预做的实验有相 当的了解,甚至可以预测到实验的结果。这样在实际做实验时,可以把许多设计型实验的难度降低,同时能有更多的时间让实验者动手做实验,研究问题,提高实验效率。当前数字电路设计已由计算机辅助设计进入到以计算机为主的设计时 代。3 VHDL简介3.1硬件描述语言VHDL硬件描述语言(VHDL)是一种用于设计硬件电子系统的计算机语言,它用软 件编程的方式来描述电子系统的逻辑功能、电路结构和连接形式,与传统的门级描述方式相比,它更适
7、合大规模系统的设计。例如一个32位的加法器,利用图形输入软件需要输人 500至1000个门,而利用VHDL语言只需要书写一行 “A=B+C即可。而且VHDL语言可读性强,易于修改和发现错误。早期的硬件 描述语言,如 ABEL、HDL、AHDL,由不同的EDA厂商开发,互不兼容,而 且不支持多层次设计,层次间翻译工作要由人工完成。为了克服以上不足,1985年美国国防部正式推出了高速集成电路硬件描述语言VHDL , 1987年IEEE采纳VHDL为硬件描述语言标准(IEEE-STD-1076)。VHDL是一种全方位的硬件描述语言,包括系统行为级。寄存器传输级和逻 辑门多个设计层次,支持结构、数据流
8、和行为三种描述形式的混合描述,因此 VHDL几乎覆盖了以往各种硬件俄语言的功能,整个自顶向下或由下向上的电路 设计过程都可以用VHDL来完成。传统的硬件电路设计方法是采用自下而上的设计方法,即根据系统对硬件的要求,详细编制技术规格书,并画出系统控制流图;然后根据技术规格书和系统 控制流图,对系统的功能进行细化, 合理地划分功能模块,并画出系统的功能框 图;接着就进行各功能模块的细化和电路设计;各功能模块电路设计、调试完成后,将各功能模块的硬件电路连接起来再进行系统的调试,最后完成整个系统的硬件设计。采用传统方法设计数字系统,特别是当电路系统非常庞大时,设计者必须具备较好的设计经验,而且繁杂多样
9、的原理图的阅读和修改也给设计者带来 诸多的不便。为了提高开发的效率,增加已有开发成果的可继承性以及缩短开发 周期,各ASIC研制和生产厂家相继开发了具有自己特色的电路硬件描述语言 (Hardware Description Language简称HDL)。但这些硬件描述语言差异很大, 各 自只能在自己的特定设计环境中使用,这给设计者之间的相互交流带来了极大的 困难。因此,开发一种强大的、标准化的硬件描述语言作为可相互交流的设计环 境已势在必行。于是,美国于1981年提出了一种新的、标准化的 HDL,称之为 VHSIC(Very High Speed Integrated Circuit) Har
10、dware Description Language,简称 VHDL。这是一种用形式化方法来描述数字电路和设计数字逻辑系统的语言。设 计者可以利用这种语言来描述自己的设计思想, 然后利用电子设计自动化工具进 行仿真,再自动综合到门电路,最后用 PLD实现其功能。3.2 VHDL语言的特点具有良好的可读性,即容易被计算机接受,也容易被读者理解。使用期长, 不会因工艺变化而使描述过时。因为 VHDL的硬件描述与工艺无关,当工艺改 变时,只需修改相应程序中的属性参数即可。当电路系统采用VHDL语言设计其硬件时,与传统的电路设计方法相比较, 具有如下的特点:第一层次是行为描述。所谓行为描述,实质上就是
11、对整个系统的数学模型的 描述。一般来说,对系统进行行为描述的目的是试图在系统设计的初始阶段,通过对系统行为描述的仿真来发现设计中存在的问题。在行为描述阶段,并不真正考虑其实际的操作和算法用何种方法来实现,而是考虑系统的结构及其工作的过 程是否能到达系统设计的要求。第二层次是RTL方式描述。这一层次称为寄存器传输描述 (又称数据流描 述)。如前所述,用行为方式描述的系统结构的程序,其抽象程度高,是很难直 接映射到具体逻辑元件结构的。要想得到硬件的具体实现,必须将行为方式描述 的VHDL语言程序改写为RTL方式描述的VHDL语言程序。也就是说,系统采 用RTL方式描述,才能导出系统的逻辑表达式,才
12、能进行逻辑综合。第三层次是逻辑综合。即利用逻辑综合工具,将RTL方式描述的程序转换成用基本逻辑元件表示的文件(门级网络表)。此时,如果需要,可将逻辑综合的 结果以逻辑原理图的方式输出。此后可对综合的结果在门电路级上进行仿真,并检查其时序关系。由自上而下的设计过程可知,从总体行为设计开始到最终的逻辑综合, 每一 步都要进行仿真检查,这样有利于尽早发现设计中存在的问题, 从而可以大大缩 短系统的设计周期。由于目前众多制造PLD芯片的厂家,其工具软件均支持VHDL语言的编程。 所以利用VHDL语言设计数字系统时,可以根据硬件电路的设计需要,自行利 用PLD设计自用的ASIC芯片,而无须受通用元器件的
13、限制。4 Quartus II软件简介4.1软件介绍Quartus II是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、 VerilogHDL 以及 AHDL(Altera Hardware Description Language)等多种设计输入形 式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整 PLD 设计流程。 Quartus II提供了完全集成且与电路结构无关的开发包环境,具有 数字逻辑设计的全部特性,包括:可利用原理图、结构框图、VerilogHDL、AHDL 和VHDL完成电路描述,并将其保存为设计实体文件,芯片(电路)平面布局连线 编
14、辑。 LogicLock增量设计方法,用户可建立并优化系统,然后添加对原始系 统的性能影响较小或无影响的后续模块,功能强大的逻辑综合工具,完备的电路功能仿真与时序逻辑仿真工具,定时/时序分析与关键路径延时分析,可使用SignalTap II逻辑分析工具进行嵌入式的逻辑分析,支持软件源文件的添加和创 建,并将它们链接起来生成编程文件,使用组合编译方式可一次完成整体设计流 程,自动定位编译错误,高效的期间编程与验证工具,可读入标准的EDIF网表文件、VHDL网表文件和Verilog网表文件,能生成第三方EDA软件使用的VHDL 网表文件和Verilog网表文件。4.2 Quartus II数字系统
15、开发流程用Quartus II软件进行数字系统开发,包括以下步骤。(1)设计输入:包括原理图输入、HDL文本输入、EDIF网表输入、波形输入等几种方式。(2)编译:先根据设计要求设定编译方式和编译策略,如器件的选择、逻辑综合方式的选择 等;然后根据设定的参数和策略对设计项目进行网表提取、逻辑综合、器件适配,并产生报告文件、延时信息文件及编程文件,供分析、仿真和编程使用。(3)仿真与定时分析:仿真和定时分析均属于设计校验,其作用是测试设计的逻辑功 能和延时特性。仿真包括功能仿真和时序仿真。定时分析器可通过三种不同的分 析模式分别对传播延时、时序逻辑性能和建立 /保持时间进行分析。(4)编程与验证
16、:用得到的编程文件通过编程电缆配置PLD,加入实际激励,进行在线测试。在设计过程中,如果出现错误,则需重新回到设计输入阶段,改正错误或调整电路后重新测试。5设计原理5.1设计思路本设计的总设计应用了原理图的方式,首先,让每一个模块实现相应的功能, 并将元件进行符号化,最后通过连线来完成最后的设计。依据任务书的要求,智力竞赛抢答器应用了抢答鉴别模块、计时模块、蜂鸣 器模块、数码管显示模块、动态显示模块等模块组来完成的。其效果显著,应用 性能好。模块简介:(1) 抢答鉴别模块:根据设计要求,首先要有清零按钮rst,四人抢答按钮si、 s2、s3、s4,显示选手序号的输出项xvhao,和报警输出信号
17、baojing。按下清零 开关rst=1,xvhao=0000000, baojing=O关闭抢答电路;当elk上升沿到来且无人 抢答时,锁存信号temp加1,当有人抢答时,输出抢答者序号且警报响起,当 temp为2是警报解除开始答题。(2) 计时模块:在这个模块中主要实现抢答过程中的计时功能,在有抢答开始后进行20秒的倒计时。其中有抢答时钟信号 clk1,系统复位信号rst,抢答使 能信号queren,警报信号baojing,禁止抢答信号jinzh ,计时十位和个位信号shiw, gew。,由给定的时钟信号clk1触发,主持人按下确认按钮(queren=1)后,开始倒 计时。当shiw=00
18、00和gew=0000时,停止倒计时,且发出报警。(3) 蜂鸣器模块:在这个模块中主要实现抢答过程中的报警功能,当主持人按下控制键,有限时间内有人抢答或是计数到时蜂鸣器开始报警,状态输入信号b,输出q,计数脉冲clk2。(4) 数码管显示模块:在这个模块中主要实现抢答过程中将 BCD码转换成7 段的功能。将个位和十位输出的数字分别输入两个译码显示模块中, 用7段数码 管显示出来。(5) 动态显示模块:在这个模块中主要实现将静态显示转换为动态显示。输 入有高频的脉冲信号 clk0,和需要输出显示的信号 gew, shiw, xvhao,分别显 示在不同的段码和位码中。5.2抢答鉴别模块5.2.1
19、抢答电路的设计这个电路有五个输入和两个输出,其代码如下:library ieee;use ieee.stdo gic_1164.all;en tity qdq isport(rst,s1,s2,s3,s4,clk:in std_logic;baojin g:out std_logic;xvhao:buffer stdo gic_vector(7 dow nto 0); end qdq;architecture bhv of qdq issig nal temp:i nteger range 0 to 2;beg inprocess(s1,s2,s3,s4,rst)beg inif(rst=1)
20、 the nxvhao=00000000;baoji ngv=0;elsif(clkeve nt and clk=1)the ntempv=temp+1;if(xvhao=00000000)the nif(s1=1)then xvhao=00000110;baoji ngv=s1 ; elsif(s2=1)the n xvhao=01011011;baoji ngv=s2; elsif(s3=1)the n xvhao=01001111;baoji ngv=s3; elsif(s4=1)the n xvhao=01100110;baoji ngv=s4; end if;else n ull;e
21、nd if;if(temp=2)the nbaoji ngv=0;end if;end if;end process;end bhv;其模块封装结果如图5-1。5-1抢答电路封装图522仿真波形在Quartus II软件绘制上述原理图,再通过编译和时序仿真,可得到如下的 仿真波形:图5-2仿真波形由上图仿真图可知在抢答开始时,先进行一次复位操作才能开始抢答,只有 第一个抢答的人将会被标记,并做出输出, 接下来的触发将不会影响输出,从而 达到了抢答的效果。直到下一轮抢答开始,又将使触发有效。5.3计时模块5.3.1计时模块设计其代码如下:library ieee;use ieee.stdo gi
22、c_1164.all;use ieee.std_logic_ un sig ned.all;en tity jishi isport(clk1,ji nzhi,quere n,rst: in std_logic;gew,shiw:buffer stdo gic_vector(3 dow nto 0);baojing :out std_logic);end jishi;architecture bhv of jishi isbeg inprocess(clk1,quere n,rst)beg inif(rst=1)the ngew=0000;shiw=0010;elsif( quere n=1)
23、the nif( jin zhi=0)the nif(gew/=0000or shiw/=0000)the nif(clk1eve nt and clk1=1)the n if(gew=0000)the n gew=1001;shiw=shiw-0001;elsegew=gew-0001;end if;end if;end if;end if;end if;if gew=0000 and shiw=0000 thenbaoji ngv二1;elsebaoji ngv=0;end if;end process;end bhv;5-3。在Quartus II软件中将上述代码形成一个圭寸装如图图5-
24、3计时器封装532仿真波形在Quartus II软件上输入以上代码,再通过编译和时序仿真,可得到如下的 仿真波形:从上面仿真图中可以得出当输入“0001 ”将会在数码管上显示1号码,当输入“ 0010”将会在数码管上显示2号码,当输入“ 0100”将会在数码管上显示3 号码,当输入“ 1000”将会在数码管上显示4号码。即可以将选手的号码在数码 管上显示。5.4蜂鸣器模块5.4.1蜂鸣器模块设计蜂鸣器就是用到了计数器,在本设计中用了大约2秒的计时(当时钟信号为 1Hz时),就是只要有选手或者倒计时的时间到0时,都将触发蜂鸣器并进行计时,当计时间到后,会自动停止蜂鸣器。其代码如下:library
25、 ieee;use ieee.stdo gic_1164.all;en tity fengming isport(clk2,b:in std_logic;q:out stdo gic);end fengming;architecture bhv of fengming issig nal temp:i nteger range 0 to 10;beg inprocess(clk2,b)beg inif(b=1)the nq=clk2;elseqxoutxoutxoutxoutxoutxoutxoutxoutxoutxoutxout1 i i i i | i i i i i i i i | i
26、| i i i | i i i i h I i 111111111 I1111111111111图5-8仿真波形5.6动态显示模块5.6.1动态管显示模块的设计该模块是决定计时时间和选手序号显示在数码管什么位置上,下面是其主代 码:library ieee;use ieee.stdo gic_1164.all;use ieee.std_logic_ un sig ned.all;en tity don gtai isport(clkO:i n std_logic;gew,shiw,xvhao:i n stdo gic_vector(7 dow nto 0); dua nm a,weima :o
27、ut std_logic_vector(7 dow nto 0); end don gtai;architecture bhv of don gtai issig nal temp:i nteger range 0 to 3;beg inprocess(clk0)beg inif (clk0eve nt and clk0=1)the n temp=temp+1;if(temp=3)the ntempdua nm a=gew;weimadua nm a=shiw;weimadua nm a=xvhao;weimaNULL;end case;end process;end bhv;在Quartus
28、 II软件中将上述代码形成一个圭寸装如图5-95.7主原理图图5-9动态显示封装图5-10总原理图将上述模块进行端线的连接,并辅助一些其他的逻辑器件,就可以得到最终 的原理图,该原理图共有13个引脚,其中,si、S2、S3、S4为4个选手抢答信 号输入端;另外还有启停、复位、时钟信号输入端、蜂鸣器输出端口。主原理图 如图5-10。将其设成顶层文件进行仿真,仿真波形如下:图5-11综合仿真波形5.8硬件测试智力竞赛抢答器工作流程如下:(1) 先进行一次复位并将使能端置为低电平;(2) 让选手进行抢答;(3) 当听到提示报警音后,终止抢答,由评委确定抢答选手号;(4) 由评委发出答题信号(使能端置
29、高),倒计时开始,当选手在规定时间内答 完,发出终止答题信号(使能端置低);若在规定时间内没有答完,发出提示音, 即终止答题;(5)重新复位进入下一轮的抢答环节 硬件下载如下图5-12。图5-12硬件下载图引脚锁定如下图5-13aInputPIN.139B5N22.5 V (defajlt)bInputPIN.143B5_N02.5 V (default)baojingOutputPIN 207B7_N32.5 V (default)cInputPIN.144B5 N02.5 V (defajlt)ckInputPIN.164B6JM32.5 V (default)罰InputPIN 232B
30、3 N22.5 V (defajlt)cklInputPIN 231B3JI22.5 V (defajlt)dInputPIN.145B5_N02.5 V (default)du;ann&a7OutputPIN 82B3JM12.5 V (default)duanma 田OutputPIN.83B3JM12.5 V (default)duanma5OutputPIN S4B3_N02.5 V (default)duarm4OutputPIN 37B3_N02.5 V (default)duanma30OutputPIN.88B3_N02.5 V (default)duianma2OutputPIN_93B4 N32.5 V (default)duamialOutputPIN.94B4JI32.5 V (default)duanma0OutputPIN.95B4JI32.5 V (default)ftjweiInputPIN 146B5 N02.5 V (default)InputPIN.160B6JI32.5 V (defBult)quarenInputPIN.159B6JM32.5 V (d
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 2025年行政执法基础知识综合练习题含答案详解(基础题)
- 龙应台两本存折课件
- 酒店反恐安全培训课件
- 电气运行课件杨娟
- 考研学校资料查询系统(3篇)
- 义乌市中小企业跨境电商发展浅析
- 考研知识竞赛试题
- 昆虫标本采集制作工技能比武考核试卷及答案
- 护理技术综合试卷题库及答案解析
- 湖南路桥安全性测试题及答案解析
- 公路统计管理办法
- 《智能建造概论》高职完整全套教学课件
- 危重症患者的疼痛管理
- 电力建设安全规程2025新版
- 自制工装夹具管理办法
- 2024年法考真题及答案解析
- 2025年苏州市中考数学试卷真题(含答案解析)
- 面向下一代互联网Web3.0可信数字身份基础设施白皮书(2024年)
- 万达人力资源管理制度
- T/CHC 1006-2023灵芝孢子油软胶囊
- DB32∕T 3260 -2017 水利工程施工图设计文件编制规范
评论
0/150
提交评论