




版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、 8路彩灯控制器设计课程设计说明书 题 目 学 院: 电气与信息工程 学生姓名: 何媛媛 指导教师: 胡红艳 职称/学位 老师 专 业: 电子信息工程 班 级: 1402班 学 号: 1430340203 完成时间: 2016年6月4日 EDA技术课程设计任务书学院:电气与信息工程学院 适应专业: 14级电子信息工程和通信工程 指导教师胡红艳学生姓名何媛媛课题名称8路彩灯控制器设计内容及任务一、设计内容设计一个8路彩灯控制器,控制8个彩灯按照设定的程序亮灭。二、主要任务:(1) 确定总体方案.运用EDA技术完成各模块的软件设计,运用所学的电路及电子技术知识完成硬件模块设计仿真.(2)完成全部流
2、程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿真、下载验证等。拟达到的要求或技术指标一、拟达到的要求:(1)恰当地运用所学理论知识,对总体方案进行必要的技术、经济比较,然后选定较佳的设计方案。(2) 编写各模块VHDL源程序,绘制原理框图、顶层电路模块划分图、系统总原理图电路图、流程图采用规范的标准绘制,要求设计参数正确、布局合理。二、技术指标:8个灯依次按以下六种要求依次变化:1、8个灯全亮 ; 2、8个灯全灭; 3、从左到右第一个开始每隔一个亮; 4、从左到右第一个开始每隔一个灭; 5、左四个灭,右四个亮; 6、左四个亮,右四个灭;主要参考资料1 林明权.VH
3、DL数字控制系统设计范例M.北京:电子工业出版社,20032 刘欲晓等.EDA技术与VHDL电路开发应用实践M.北京:电子工业出版社,20093 刘延飞等.基于ALTERA FPGA/CPLD的电子系统设计及工程实践M. 北京:人民邮电出版社,20094 刘江海.EDA技术M. 武汉:华中科技大学出版社, 20135 艾明晶.EDA设计实验教程M. 北京:清华大学出版社,20146 陈苏婷.EDA设计与应用基础M. 北京:气象出版社, 20157 范秋华.EDA技术及实验教程M.北京:电子工业出版社, 20158 马玉清.EDA技术(VHDL版) M.合肥:中国科学技术大学出版社,20149
4、李俊.EDA技术与VHDL编程M.北京:电子工业出版社.201210 陈炳权,曾庆立.EDA技术及实例开发教程M.湘潭:湘潭大学出版社,2013指导教师意见签名:年 月 日教研室意见签名:年 月 日 摘 要:彩灯在当今社会不仅作为一种装饰的物品,对于生活中的一些必须物品也是越来越常见了,而对于彩灯控制器的设计包括了它们闪烁的的花样、方式以及频率,EDA技术的发展不但能把这些问题都解决,它还可以减少设计芯片的数量,缩小它们的体积,降低功耗等多个方面的好处,所以EDA的发展给电子系统的设计带来了革命性的变化,硬件作描述语言,可编程逻辑器件(PLD)使得EDA技术走向了普及,而其中的VHDL语言就是
5、EDA的关键技术之一,它采用了自顶向下的设计方法,完成了系统的整体设计方案,既让程序有了通用性,也提高了设计的灵活性、可靠性和可发展性,也让我们对未来自己要学的东西有了更深刻的的认识。关键词:EDA(电子设计自动化);VHDL;彩灯控制器;目 录摘要41 绪论61.1 EDA技术发展简介61.2 课程设计内容71.2.1题目71.2.2课程设计目的71.2.3任务和要求72 系统电路图设计72.1 总体方案的选择7 2.1.1方案的比较8 2.1.2 方案的设计82.2 系统硬件单元电路的设计9 2.2.1 分频模块设计9 2.2.2 二选一模块设计10 2.2.3 8路彩灯控制模块设计112
6、.3系统硬件电路123 实验结果分析12 3.1 实验仪器设备错123.2测试结果分析12 3.2.1 顶层原理图13 3.2.2 芯片选取13 3.2.3 引脚锁定13 3.2.4 下载测试结果144 结束语14 参考文献15致谢161 绪论1.1 EDA 技术发展简介 20世纪末,数字电子技术得到飞速发展,有力地推动了社会生产力的发展和社会信息化的提高。从计算机到手机,从数字电话到数字电视,从家用电器到军用设备,从工业自动化到航天技术,都尽可能采用数字电子技术。 EDA技术就是依靠功能强大的电子计算机,在EDA工具软件平台上,对以硬件描述语言HDL(Hardware Description
7、 Language)为系统逻辑描述手段完成的设计文件,自动地完成逻辑化简、编译、综合、优化、仿真,直至下载到可编程逻辑器件CPLD/FPGA或专用集成电路ASIC(Application Specific Integrated Circuit)芯片中,实现既定的电子电路设计功能.EDA的优点: 使得电子电路设计者的工作仅限于利用硬件描述语言和EDA软件平台来完成对系统硬件功能的实现;极大地提高了设计效率;减少设计周期;节省设计成本。 EDA的发展历经三个阶段: CAD(Computer Aided Design)、CAE(Computer Aided Engineering)、EDA(Elec
8、tronic Design Automation) CAD:计算机辅助设计。EDA技术发展的早期阶段,主要借助计算机对所设计的电路进行一些模拟和预测,辅助进行集成电路版图编辑、印刷电路板PCB(Printed Circuit Board)布局布线等简单的版图绘制等工作。 CAE:计算机辅助工程设计。在CAD的工具逐步完善的基础上发展起来的,尤其是人们在设计方法学、设计工具集成化方面取得了长足的进步,利用计算机建立各种设计单元库,大大提高了工作效率。20世纪90年代以来,微电子工艺水平已经达到了65纳米级在一个芯片上已经可以集成上百万乃至数亿只晶体管,芯片速度达到了吉比特/秒量级,百万门以上的可
9、编程逻辑器件陆续面世,对电子设计的工具提出了更高的要求,提供了广阔的发展空间。 EDA:电子设计自动化设计。前期将设计师的高层次设计由工具来完成,如可以将用户要求转换为设计技术规范,有效处理可用资源与理想设计目标之间的矛盾按具体的的硬件、软件和算法分解设计等。设计师可以在不太长的时间内使用EDA工具,通过一些简单标准化的设计过程,利用微电子厂家提供的设计库来完成数万门ASIC和集成系统的设计与验证。 EDA以系统级设计为核心,包括系统行为级描述与结构综合,系统仿真与测试验证,系统划分与指标分配,系统决策与文件生成等一整套的电子系统设计自动化工具。 不仅具有电子系统设计的能力,而且能提供独立于工
10、艺和厂家的系统级设计能力,具有高级抽象的设计构思手段。提供方框图、状态图和流程图的编辑能力,具有适合层次描述和混合信号描述的硬件描述语言(如VHDL、AHDL或Verilog-HDL),同时含有各种工艺的标准元件库。1.2 课程设计内容1.2.1 题目 8路彩灯控制器设计1.2.2 课程设计目的 (1)进一步掌握EDA技术理论课上所学的知识。 (2)熟悉VHDL编程以及顶层原理图的绘制。 (3)了解EDA系统设计的基本思想和方法,学会科学分析和解决问题。 (4)培认真严谨的工作风和实事求是的工作态度。 (5)作为课程基础理论认识到真正的学以致用,课程设计为这两者提供了一个桥梁。1.2.3 任务
11、和要求 本次课程设计的内容是设计一个8路彩灯控制器,能够控制彩灯按照六种状态进行循环亮灭,六种状态分别是: (1)8个灯全部亮; (2)8个灯全部灭; (3)从左到右第一个开始每隔一个亮; (4)从右到左第一个开始每隔一个灭; (5)左四个灭,右四个亮; (6)左四个亮,右四个灭; 设计完成之后进行原理图的仿真、波形的仿真、引脚锁定以及最后在实验箱上进行仿真,观察下载测试的结果是否与实验预计的结果相一致。2 系统电路图设计2.1 总体方案的选择2.1.1 方案的比较 针对题目设计的要求,经过分析与思考,拟定了以下的两种方案: 方案一:总体的电路分为三大块,第一大块实现的时钟信号,第二块实现状态
12、的控制以及节拍的控制,第三块是实现8路彩灯状态的演示。主体的框如图1所示:时钟信号模块状态控制分频模块8路彩灯的控制状态模块状态显示电路图1 方案一主体框图 状态分频控制模块:控制彩灯循环的速度。 8路彩灯的状态控制模块:整个系统的枢纽,显示彩灯的亮灭情况。 方案二:将电路整体的划分为了四大块,第一块还是实现的时钟信号,第二块实现分频的功能,第三块实现二选一的功能,第四大块实现8路彩灯的状态演示,主体框图如图2所示:8路彩灯的状态控制模块时钟信号模块分频模块二选一模块状态显示电路图2 方案二主体框图 分频模块:把时钟脉冲二分频,去得到另一个时钟的脉冲,用时钟脉冲来控制状态转换的速度。 二选一模
13、块:选择两种频率中的一个控制彩灯的状态。 8路彩灯的状态控制模块:整个系统的枢纽,显示彩灯的亮灭情况。2.1.2 方案的设计 方案一与方案二的不同之处是前者将状态和分频控制电路放在了一起,是考虑到了只要有计数器就可以实现其功能的原因,而且原理相当于比较简单,这样的设计他的优点在于设计思想简单,元件的种类使用少,但其不足之处是中间单元连线电路过于繁多,容易出错,而且可能会出现线与的关系,导致电路不稳定,抗干扰的努力不强,而后者运用了分频和二选一模块,将中间这一块变为了两个部分去设计,每个模块实现一种功能,这种设计的优点在于,由于电路设计模块化了,所以易于检查电路,对后面的组装以及调试带来了很大的
14、方便,而这种设计的不足之处是所编的程序增多,综合以上考虑,我选择了方案二。2.2系统硬件模块电路的设计2.2.1分频模块设计程序:library ieee;use ieee.std_logic_1164.all;entity fenpin2 is port( clk:in std_logic; clkk:out std_logic);end fenpin2;architecture behav of fenpin2 isbegin process(clk) variable clkk1:std_logic:=0; begin if clkevent and clk=1 then clkk1:=
15、 not clkk1; end if; clkk=clkk1; end process;end behav;仿真波形如下图所示:图3 分频模块波形仿真图 由上图可知,输出clkk的频率只有clk的一半,所以此程序成功的达到二分频的效果,而此程序在经过一定的修改后,可以达到更多分频的效果。2.2.2二选一模块设计程序:library ieee;use ieee.std_logic_1164.all;entity mux21 isport(a,b,s:in std_logic; y:out std_logic);end mux21;architecture behave of mux21 isbe
16、ginprocess(a,b,s)beginif s=0 then y=a;else y=b;end if;end process;end behave;仿真波形如下图所示:图4 s=0时波形仿真图图5 s=1时波形仿真图 由波形图可知,当s=0是输出对应a的状态,当s=1是输出对应b的状态,与所编程序的预期结果相同,达到二选一的效果,所以符合设计的要求。2.2.3 8路彩灯控制模块设计程序: library ieee;use ieee.std_logic_1164.all;entity rly is port(clk,clr:in std_logic; led: out std_logic_
17、vector(7 downto 0);end rly;architecture bhv of rly is type led_s is (st0,st1,st2,st3,st4,st5); signal c_s,n_s:led_s; beginReg:process(clk,clr) begin if clr=1 then led=00000000; elsif(clkevent and clk=1)thenc_sled=11111111; n_sled=00000000; n_sled=10101010; n_sled=01010101; n_sled=00001111; n_sled=11
18、110000; n_sn_s=st0; end case; end if; end process;end bhv;波形如下图所示:图6 8路彩灯波形仿真图 由上述波形可知,彩灯是设计按规定的六种状态进行循环亮灭的,本程序灵活多变,可以再次基础上添加更多的状态。2.3 系统硬件电路图7 顶层原理图3实验结果分析3.1实验使用的仪器设备 电脑一台,EDA实验仪等。3.2 测试结果分析3.2.1 顶层原理图根据绘制好的顶层原理图,首先进行了波形的仿真,仿真波形如下图所示:图8 s=0时顶层波形仿真图图9 s=1时顶层波形仿真图 从图中可知s=0时,输出了四个节拍,当s=1时输出了两个节拍这正是由于
19、分频器模块和二选一模块的作用。3.2.2芯片选取 此次我们EDA实验中所用到的引脚锁定采用的是Cyclone系列中的GWAC3-EP1C3TC144芯片,如下图所示:图10 芯片选取图3.2.3引脚锁定 将引脚锁定好之后再次对其进行编译确保无误,无误之后开始进行下载测试,这次下载测试我们选择的模式是模式一,其中我们选择了键8去控制clr信号,选择键7去控制了s信号,八个灯对应了PIO39-PIO32,引脚锁定结果如下图所示:图11 引脚锁定图3.2.4下载测试结果 在进行仿真的时候我们可以看到八个灯按照全亮-全灭-第一个开始从左到右隔一个亮-第一个开始从左到右隔一个灭-左四个灭有四个亮-左四个
20、亮右四个灭这样的状态进行循环亮灭,由于我们下载测试选择的频率是4HZ,即时间为0.25s,在由我们的仿真波形图可以看出当s=0时输出的有四个节拍,所以这样我们每种状态持续的时间将会是1s,而当选择的s=1时我们从仿真波形可以看出输出的是二个节拍,所以每种状态持续的时间将会是0.5s,最后,由于下载测试的结果与课程设计预期结果相符合,所以满足该课程设计的要求。结术语 在三个星期的努力下,我终于完成了8路彩灯控制器的设计和相关论文的写作,在这三个星期的时间里,可以说既痛苦也享受,痛苦着过程的煎熬,享受着最后成功的喜悦,在这次课程设计中,不仅加深了我对理论知识的认识,而且也学到了很多书本上面没有的东
21、西,真的是让我获益匪浅。 在这次设计的过程中虽然也遇到了一些问题,但在自己的思考以及同学的帮助下,最终还是得到了解决,对于课程设计,它不仅要用到我们学习的理论知识,也还要动手的实践,以及查找资料的能力,因为在课程设计的过程中可能会遇到我们没有学到的东西,所以这个时候就要自己去查找资料,去理清思路,在这个过程中让我收获巨大,学习到了不少以前自己所不知道的东西,所以课程设计不仅是对前面自己所学知识的一种检验,也是对其他能力的一种提升,而在这次的课程设计中,我也得出了一个结论,那就是知识只有通过应用才能实现它的价值,有些东西以为自己懂了,但如果真的用起来,却又是另一回事了,所以对于一个知识,只有当你真正的的学以致用了,那才算是真正的学到了。最后对于本次的实验我总结了以下的几点: 第一,通过本次课程设计,我熟悉和掌握了课程设计的基本方法和步骤,提高了综合实验能力。 第二,本次课程设计加深了我对EDA技术的进一步深入理解。熟悉了VHDL程序编写和原理图输入法的优缺点,为我以后更好地运用MAX+Plus II奠定了良好的基础。 第三,通过这次课程设计,使我受益颇多。了解到课程实习设计是开端,连接是关键,测试是必须。既巩固了课堂上学到的理论知识,又掌握了自己以前没有了解到的东西。在此基
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 2025-2026学年地质版(2024)小学体育与健康二年级全一册《男孩 女孩》教学设计
- 2025年高考生物试题分类汇编:种群及其动态解析版
- 2025年高考生物试题分类汇编:体液调节解析版
- 2025企业劳动合同样本
- 小白杨81章题目及答案
- 消防考试易考题目及答案
- 2025餐厅聘请厨师合同
- 乡土中国说课题目及答案
- 2025医疗设备租赁合同范本
- 物业保安试题及答案
- esd防静电知识培训
- 肱骨外科颈骨折(骨科)
- 药库改造方案
- 英语语法填空基础训练40篇(附答案)
- 投标书-备品备件长期供应方案
- 初中作业设计培训课件
- 专业婚介培训课件
- 《影视艺术概论》课件
- 中国中小学生积极心理品质量表2
- 2023分布式光伏电站安装作业指导书
- 《七大营养素》课件
评论
0/150
提交评论