




版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、电子技术综合设计电子技术综合设计x康芯科技康芯科技 等精度频率计设计等精度频率计设计在此完成的设计项目可达到的指标为:在此完成的设计项目可达到的指标为:(1)频率测试功能:测频范围)频率测试功能:测频范围0.1hz100mhz。测频精度:测。测频精度:测频全域相对误差恒为百万分之一。频全域相对误差恒为百万分之一。(2)脉宽测试功能:测试范围)脉宽测试功能:测试范围0.1s1s,测试精度,测试精度0.01s 。(3)占空比测试功能:测试精度)占空比测试功能:测试精度199。x康芯科技康芯科技z测频率z测周期z测相位z测脉冲宽度放大整形门控晶振分频器计数显示被测信号0.01s, 0.1s, 1s,
2、 10sx康芯科技康芯科技z测频法(高频测量1mhz)&输入fx门控信号t计数n频率的定义:物质在1秒内完成周期性变化的次数叫做频率 ,或者单位时间内完成振动的次数 。fx=n/tx康芯科技康芯科技z测周期(低频测量)x康芯科技康芯科技测量误差 =n/n+ fc/fc =f/f第一项为计数误差,第二项为晶振误差f=1mhz,闸门t=1s,=10-6f=500khz, =10-6,则t=n/f=2sf=10hz, =10-6,则t=n/f=10-6/10=100000sx康芯科技康芯科技12.1 12.1 等精度频率计设计等精度频率计设计12.1.1 主系统组成主系统组成图图12-1 频率计主系
3、统电路组成频率计主系统电路组成x康芯科技康芯科技12.1 12.1 等精度频率计设计等精度频率计设计12.1.2 测频原理测频原理图图12-2 等精度频率计主控结构等精度频率计主控结构x康芯科技康芯科技nxnsx康芯科技康芯科技等精度频率法测量误差nx计数无误差, ns最多相差一个脉冲fx=fs*nx/nsfxe=fs*nx/(ns+ 1)相对误差=(fxe-fx)/fxe= 1 /ns1/nsns=t*fs测量误差与被测信号频率无关,仅与ns有关闸门时间越长,标准频率越高,测量相对误差越小。x康芯科技康芯科技x康芯科技康芯科技 设在一次预置门时间设在一次预置门时间tpr中对被测信号计数值为中
4、对被测信号计数值为nx,对标准频率,对标准频率信号的计数值为信号的计数值为ns,则下式成立:,则下式成立:nsfsnxfx/12-1不难得到测得的频率为:不难得到测得的频率为:nxnsfsfx)/(12-2图图12-3 频率计测控时序频率计测控时序x康芯科技康芯科技12.1.3 fpga/cpld开发的开发的vhdl设计设计 占空比 = 12-3100211 nnn【例【例12-1】library ieee; -等精度频率计等精度频率计use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity gwdvpb is por
5、t (bclk : in std_logic; -clock1 标准频率时钟信号标准频率时钟信号 tclk : in std_logic; - 待测频率时钟信号待测频率时钟信号 clr : in std_logic; - 清零和初始化信号清零和初始化信号 cl : in std_logic; -预置门控制预置门控制 spul : in std_logic; -测频或测脉宽控制测频或测脉宽控制 start : out std_logic; eend : out std_logic; -由低电平变到高电平时指示脉宽计数结束由低电平变到高电平时指示脉宽计数结束 sel : in std_logic_
6、vector(2 downto 0); -多路选择控制多路选择控制 data : out std_logic_vector(7 downto 0); -位数据读出位数据读出 end gwdvpb; 接下页接下页x康芯科技康芯科技architecture behav of gwdvpb is signal bzq,tsq : std_logic_vector(31 downto 0);-标准计数器标准计数器/测频计数器测频计数器 signal ena,pul : std_logic; - 计数使能计数使能/脉宽计数使能脉宽计数使能 signal ma,clk1,clk2,clk3 : std_l
7、ogic ; signal q1,q2,q3,bena : std_logic; signal ss : std_logic_vector(1 downto 0); begin start = ena ; data = bzq(7 downto 0) when sel=000 else-标准频率计数低标准频率计数低8位输出位输出 bzq(15 downto 8) when sel = 001 else bzq(23 downto 16) when sel = 010 else bzq(31 downto 24) when sel = 011 else-标准频率计数最高标准频率计数最高8位输出位
8、输出 tsq( 7 downto 0) when sel = 100 else-待测频率计数值最低待测频率计数值最低8位输出位输出 tsq(15 downto 8) when sel = 101 else tsq(23 downto 16) when sel = 110 else tsq(31 downto 24) when sel = 111 else-待测频率计数值最高待测频率计数值最高8位输出位输出 tsq(31 downto 24) ; bzh : process(bclk, clr) -标准频率测试计数器,标准计数器标准频率测试计数器,标准计数器 begin if clr = 1 t
9、hen bzq 0 ) ; elsif bclkevent and bclk = 1 then if bena = 1 then bzq = bzq + 1; end if; end if; end process; tf : process(tclk, clr, ena) -待测频率计数器,测频计数器待测频率计数器,测频计数器 接下页接下页 x康芯科技康芯科技begin if clr = 1 then tsq 0 ); elsif tclkevent and tclk = 1 then if ena = 1 then tsq = tsq + 1; end if; end if; end pr
10、ocess; process(tclk,clr)-计数控制使能,计数控制使能,cl为预置门控信号,同时兼作正负脉宽测试控制信号为预置门控信号,同时兼作正负脉宽测试控制信号 begin if clr = 1 then ena = 0 ; elsif tclkevent and tclk = 1 then ena = cl ; end if; end process; ma = (tclk and cl) or not(tclk or cl) ; -测脉宽逻辑测脉宽逻辑 clk1 = not ma; clk2 = ma and q1; clk3 = not clk2; ss = q2 & q3 ;
11、 dd1: process(clk1,clr) begin if clr = 1 then q1 = 0 ; elsif clk1event and clk1 = 1 then q1 = 1 ; end if; end process;dd2: process(clk2,clr) begin if clr = 1 then q2 = 0 ; elsif clk2event and clk2 = 1 then q2 = 1 ; end if; end process; 接下页接下页x康芯科技康芯科技dd3: process(clk3,clr) begin if clr = 1 then q3 =
12、 0 ; elsif clk3event and clk3 = 1 then q3 = 1 ; end if; end process; pul =1 when ss=10 else -当当ss=10时,时,pul高电平,允许标准计数器计数,高电平,允许标准计数器计数, 0 ; -禁止计数禁止计数 eend =1 when ss=11 else-eend为低电平时,表示正在计数,由低电平变到高电平为低电平时,表示正在计数,由低电平变到高电平 0 ; -时,表示计数结束,可以从标准计数器中读数据了时,表示计数结束,可以从标准计数器中读数据了 bena =ena when spul=1 else-
13、标准计数器时钟使能控制信号,当标准计数器时钟使能控制信号,当spul为为1时,测频率时,测频率 pul when spul = 0 else -当当spul为为0时,测脉宽和占空比时,测脉宽和占空比 pul ; end behav; 在使用单片机统调前,应该直接对下载了例12-1程序的fpga进行测试,如果使用附录的eda系统,建议用实验电路no.5,六个键分别控制spul、cl、clr和sel;bclk输入50mhz频率,tclk接clock1(5或9等);用两个数码管显示8位输出data7.0。x康芯科技康芯科技cdqcdqcdqcdq(data)(start)spuleend=0则eend=1,否则当q2=1,q3=1pul=0则pul=1,否则当q2=1,q3=0ss1的逻辑功能为:2
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 责任与个人幸福
- 谈判心理学知识培训课程课件
- 2025标识标牌智能导视系统设计与集成合同范本
- 2025版互联网平台委托管理合同示范文本
- 2025版全新大包工程合同含绿色施工技术创新条款下载
- 2025年度创业团队合伙人竞业禁止合同范本
- 2025版办公楼墙面翻新美化与节能改造合同
- 2025年材料合同终止与供应链优化协议
- 2025年度智能环保节能建筑项目施工工程合同台账模板
- 2025版乳胶漆施工安全教育与培训合同协议书
- 押题宝典期货从业资格之《期货法律法规》试题及参考答案详解(能力提升)
- 无人机驾驶培训专业知识课件
- 2025年北师大版新教材数学二年级上册教学计划(含进度表)
- 2025年广东省中考语文试卷真题(含答案)
- 【新教材】苏科版(2024)七年级上册数学第1-6章全册教案设计
- 年轻人群酒水消费洞察报告
- 社会化媒体全套教学课件
- 幼儿园绘本:《你真好》 PPT课件
- 小学语文《一定要争气》教案设计
- 2015年上海齐正金融企业文化建设方案
- 泰兴市交通系统重大安全生产事故应急救援预案
评论
0/150
提交评论