




版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、2-28module adder_4(cout,sum,ina,inb,cin,clk);output3:0 sum;output cout;input3:0 I na,inb; /tempa,tempb中间变量声明input cin,clk;reg3:0 tempa,tempb,sum; reg cout;reg tempc; /tempc中间变量声明always (posedge clk) /always clk上升沿触发begin /阻塞语句tempa=ina;tempb=inb;tempc=cin;endalways (posedge clk) /always clk上升沿触发begi
2、ncout,sum=tempa+tempb+tempc;endendmodule2-40 timescale 1ns/10ps module adder4_testbench; reg 3:0 ina,inb;reg cin; reg clk=0;wire 3:0 sum;wire cout;always #10 clk=clk;initial begin ina=0; repeat(20) #20 ina =$random; /随机数ina产生 endinitial begin inb=0; repeat(10) #40 inb =$random; /随机数inb产生 endinitial
3、begin cin=0; repeat(2) #200 cin =$random%16;/ 随机数inc产生#200 $stop; endadder4 adder4_te( .clk (clk ), .sum ( sum ), .cout ( cout), .ina ( ina ), .inb ( inb ), .cin ( cin ) ); initial endmodule2-73LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY SEG_7 ISPORT (SEG: IN STD_
4、LOGIC_VECTOR(3 DOWNTO 0 ); /-四位二进制码输入 Q3: OUT STD_LOGIC_VECTOR(6 DOWNTO 0) );/-输出LED七段码END SEG_7;ARCHITECTURE ART OF SEG_7 ISBEGINPROCESS(SEG) BEGINCASE SEG ISWHEN "0000" => Q3<="0000001"-0 WHEN "0001" => Q3<="1001111"-1WHEN "0010" =>
5、Q3<="0010010"-2WHEN "0011" => Q3<="0000110"-3WHEN "0100" => Q3<="1001100" ; -4WHEN "0101" => Q3<="0100100"-5WHEN "0110" => Q3<="0100000"-6WHEN "0111" => Q3<="0001
6、111"-7WHEN "1000" => Q3<="0000000"-8WHEN "1001" => Q3<="0000100"-9WHEN OTHERS => Q3<="1111111"END CASE;END PROCESS; END ART;3-1timescale 1ns/1nsmodule Decoder2x4(A,B,EN,Z) ;input A,B,EN;output 0:3 Z;wire Abar, Bbar;assign #1 Ab
7、ar=A; / 语句1assign #1 Bbar=B; / 语句2assign #2 Z0=(Abar &Bbar&EN ) ; / 语句3assign #2 Z1=(Abar & B&EN) ; / 语句4assign #2 Z2=(A&Bbar&EN) ; / 语句5assign #2 Z3=(A&B&EN) ; / 语句6endmodule3-3module FASeq(A, B, Cin, Sum, Cout) ;input A, B, Cin ;output Sum, Cout;reg Sum, Cout;reg T1
8、, T2, T3;always(A or B or Cin) begin Sum=(AB)Cin;T1=A&Cin;T2=B&Cin;T3=A&B;Cout = (T1|T2)|T3;endendmodule3-4timescale 1ns/1nsmodule Test(Pop,Pid);output Pop,Pid;reg Pop,Pid;initialbeginPop = 0; / 语句1Pid = 0; / 语句2Pop = #5 1; / 语句3Pid = #3 1; / 语句4Pop = #6 0; / 语句5Pid = #2 0; / 语句6endendmo
9、dule3-5Module FourBitFA (FA,FB,FCin,FSum,FCout);parameter SIZE = 4;input SIZE:1 FA, FB;output SIZE:1 FS uminput FCin;input FCout;wire 1: SIZE-1 FTemp;FAStrFA1( .A(FA1), .B(FB1), .Cin(FCin) , .Sum(FSum1), .Cout(FTemp1 ) ),FA2( .A(FA2), .B(FB2), .Cin(FTemp1),.Sum(FSum2),.Cout(FTemp2) ,FA3( .A(FA3), .B
10、(FB3), .Cin(FTemp2) , .Sum(FSum3), .Cout(FTemp3 ) ),FA4( .A(FA4), .B(FB4), .Cin(FTemp3),.Sum(FSum4),.Cout(FCout) ;endmodule3.1 beginArt = 0; Art = 1;end3.2initialbeginCbn <= 0;Cbn<=1;end3.3reg 0:2 Q State;initialbeginQ State = 3b011;Q State <=3b100;$display(“Current value of Q_State is”%b,Q
11、 State) ;#5; /等待一定的时延。$display(“The delayed value of Q_State is”%,b Q State);end例3.4 begin areg = breg; creg = areg; /creg的值为breg的值。 end例3.5 fork #50 r = 'h35; #100 r = 'hE2; #150 r = 'h00; #200 r = 'hF7; #250 -> end_wave; /触发事件end_wave Join例3.6 case ( select1:2 )result = 0;2 '
12、;b01: result = flaga; 2 'b0x: result = flagb;2 'b0z: result = flaga? 'bx : 0; 2 'b10: result = flagb;2 'bx0, result = flagb;2 'bz0: result = flagb? 'bx : 0; default: result = 'bx; endcase例3.7 case(sig) :1 'bz: $display("signal is floating");1 'bx: $d
13、isplay("signal is unknown"); default:$display("signal is %b", sig); endcase例3.8reg7:0 ir; casez(ir) 8 'b1?: instruction1(ir); 8 'b01?: instruction2(ir);8 'b00010?: instruction3(ir);8 'b000001?: instruction4(ir); endcase 例3.9reg7:0 r, mask; mask = 8'bx0x0x0x0;c
14、asex(rmask)8 'b001100xx: stat1; 8 'b1100xx00: stat2; 8 'b00xx0011: stat3; 8 'bxx001100: stat4; ;endcase 例3.10begin: init_memreg7:0 tempi;for(tempi=0;tempi<memsize;tempi=tempi+1)memorytempi=0;end例3.11parameter size = 8, longsize = 16;regsize:1 opa, opb;reglongsize:1 result;begin:mu
15、ltinteger bindex;result=0;for( bindex=1; bindex<=size; bindex=bindex+1 )if(opbbindex)result = result + (opa<<(bindex-1);end例3.12 initial begin areg=0; /初始化寄存器areg for(index=0;index<size;index=index+1) memoryindex=0; /初始化一个memory end例3.13always areg = areg;例3.14always # half_period areg =
16、 areg;4-2(1)代码一:module and_2(y,a,b);output y;input a,b;and(y,a,b);endmodule(2)代码二:module and_2(y,a,b);output y;input a,b;reg y;always(a,b) begin case(a,b) 2'b00:y=0; 2'b01:y=0; 2'b10:y=0; 2'b11:y=1; default:y='bx; endcase endendmodule4-6(1) 代码一:module or_2(y,a,b);output y;input a
17、,b;or(y,a,b);endmodule(2) 代码二:module or_2(y,a,b);output y;input a,b;reg y;always(a,b) begin case(a,b) 2'b00:y<=0; 2'b01:y<=1; 2'b10:y<=1; 2'b11:y<=1; default:y<='bx; endcase endendmodule4-10(1) 代码一:module notput(y,a);output y;input a;not(y,a);endmodule(2) 代码二:modul
18、e notput(y,a);output y;input a;reg y;always(a) begin case(a) 1'b0:y=1; 1'b1:y=0; default:y='bx; endcase endendmodule4-14(1)代码一:module nand_2(y,a,b);output y;input a,b;nand(y,a,b);endmodule(2)代码二:module nand_2(y,a,b);output y;input a,b;reg y;always(a,b) begin case(a,b) 2'b00:y=1; 2
19、9;b01:y=1; 2'b10:y=1; 2'b11:y=0; default:y='bx; endcase endendmodule4-18(1) 代码一:module nor_2(y,a,b);output y;input a,b;nor(y,a,b);endmodule(2) 代码二:module nor_2(y,a,b);output y;input a,b;reg y;always(a,b) begin case(a,b) 2'b00:y<=1; 2'b01:y<=0; 2'b10:y<=0; 2'b11:y
20、<=0; default:y<='bx; endcase endendmodule4-22module nora(y,a,b,c,d);output y;input a,b,c,d;assign y=(a&b|c&d);endmodule4-26(1) 代码一:module xor_2(y,a,b);output y;input a,b;xor(y,a,b);endmodule(2) 代码二:module xor_2(y,a,b);output y;input a,b;reg y;always(a,b) begin case(a,b) 2'b00:y
21、<=0; 2'b01:y<=1; 2'b10:y<=1; 2'b11:y<=0; default:y<='bx; endcase endendmodule4-30(1) 代码一:module xnor_2(y,a,b);output y;input a,b;xnor(y,a,b);endmodule(2) 代码二:module xnor_2(y,a,b);output y;input a,b;reg y;always(a,b) begin case(a,b) 2'b00:y<=1; 2'b01:y<=0;
22、 2'b10:y<=0; 2'b11:y<=1; default:y<='bx; endcase endendmodule4-33(1)代码一:module tri_gate(dout,din,en);output dout; /信号输入端input din,en; /信号输入端,使能端assign dout=en?din:'dz;endmodule(2)代码二:module tri_gate(dout,din,en);output dout;input din,en;reg dout;always if(en) dout<=din; e
23、lse dout<='bz;endmodule4-36module tri_buffer(dout,din,en);output7:0 dout; /数据输入端input7:0 din; /数据输出端input en; reg7:0 dout;always if(en) dout<=din; else dout<=8b 'z;endmodule4-39module tri_bibuffer(en,dr,a,b);inout7:0 a,b; /双向数据端口input en,dr; /使能端,数据方向控制端wire 7:0 a,b; /inout类型双向端口必须定
24、义为wire类型的变量reg7:0 a_reg,b_reg;/在always、initial语句中的赋值语句被赋值变量必须是寄存器变量,在此定义a_reg,b_reg为双向端口a,b的缓存器always(*)begin if(dr) begin if(en) begin b_reg=a; end else begin b_reg='bz; end end else begin if(en) begin a_reg=b; end else begin a_reg='bz; end endendassign a=a_reg;assign b=b_reg;endmodule测试程序如
25、下。timescale 1ns/1nsmodule tri_bibuffer_testbench;wire 7:0 a,b;reg en;reg dr;tri_bibuffer tri_bibuffer(en,dr,a,b);initial begin #10 dr=1;en=1; force a='b11110000;/ 强制a作为输入端口 #30 en=0; #30 release a; / 释放输入端口a #10 dr=0;en=1; force b='b00001111;/ 强制b作为输入端口 #30 en=0; #30 release b; / 释放输入端口b end
26、 endmodule5-1module encoded8_3(x,y);input7:0 x; / 信号输入端output2:0 y; / 信号输出端reg 2:0 y;always (x)begincase (x7:0)8'b00000001:y2:0=3'b000;8'b00000010:y2:0=3'b001;8'b00000100:y2:0=3'b010;8'b00001000:y2:0=3'b011;8'b00010000:y2:0=3'b100;8'b00100000:y2:0=3'b1
27、01;8'b01000000:y2:0=3'b110;8'b10000000:y2:0=3'b111;endcaseendendmodule5-6module youxianencoder(y,eo,gs,i,ei);input7:0 i; /信号输入端input ei; /输入使能端output 2:0 y;output eo, gs;reg2:0 y;reg eo, gs;always(i,ei)begin if(ei=1) begin y2:0<=3'b111; gs<=1; eo<=1;end else begin if(i7=
28、0)beginy2:0<=3'b000; gs<=0; eo<=1;endelse if(i6=0)beginy2:0<=3'b001; gs<=0; eo<=1;endelse if(i5=0)beginy2:0<=3'b010; gs<=0; eo<=1;endelse if(i4=0)beginy2:0<=3'b011; gs<=0; eo<=1;endelse if(i3=0)beginy2:0<=3'b100; gs<=0; eo<=1;endelse i
29、f(i2=0)beginy2:0<=3'b101; gs<=0; eo<=1;endelse if(i1=0)beginy2:0<=3'b110; gs<=0; eo<=1;endelse if(i0=0)beginy2:0<=3'b111; gs<=0; eo<=1;endelse if(i7:0='b11111111)beginy2:0<=3'b111; gs<=1; eo<=0;endendendendmodule5-11module decoder3_8(y,i,g1,g2,
30、g3);output7:0 y;input2:0 i;input g1, g2, g3;reg7:0 y;always(i or g1 or g2 or g3)begin if(g1=0) y=8'b11111111; else if(g2=1) y=8'b11111111; else if(g3=1) y=8'b11111111;else begin y=8'b00000001<<a;y=y;end end endmodule5-14module bcd_decoder(y,a);output6:0 y;input3:0 a;reg6:0 y;al
31、ways(a) begin case(a3:0) 4'b0000:y6:0=7'b1111110; 4'b0001:y6:0=7'b0110000; 4'b0010:y6:0=7'b1101101; 4'b0011:y6:0=7'b1111001; 4'b0100:y6:0=7'b0110011; 4'b0101:y6:0=7'b1011011; 4'b0110:y6:0=7'b1011111; 4'b0111:y6:0=7'b1110000; 4'b1000
32、:y6:0=7'b1111111; 4'b1001:y6:0=7'b1111011; 4'b1010:y6:0=7'b1110111; 4'b1011:y6:0=7'b0001111; 4'b1100:y6:0=7'b1001110; 4'b1101:y6:0=7'b0111101; 4'b1110:y6:0=7'b1001111; 4'b1111:y6:0=7'b1000111;endcaseendendmodule5-17module mux4_1(y,d0,d1,d2,
33、d3,g,a);output y;/选择输出端input d0,d1,d2,d3;/4个数据源input g;/使能端input1:0 a;/两位地址码reg y;always (d0 or d1 or d2 or d3 or g or a)begin if(g=0) y=0;case(a1:0)2'b00:y=d0;2'b01:y=d1;2'b10:y=d2;2'b11:y=d3;default:y=0;endcase endendmodule代码二:调用门元件实现的4选1 MUX。module mux4_1(y,d0,d1,d2,d3,g,a);output
34、 y;input d0,d1,d2,d3;input g;input1:0 a;wire nota1, nota0,x1,x2,x3,x4;not(nota1,a1), (nota0,a0);and(x1,d0, nota1, nota0), (x2,d1, nota1, a0), (x3,d2, a1, nota0), (x4,d3, a0, a1);or(y1,x1,x2,x3,x4);and(y,y1,g);endmodule代码三:数据流方式描述的4选1 MUX。module mux4_1(y,d0,d1,d2,d3,g,a);output y;input d0,d1,d2,d3;in
35、put g;input1:0 a;assign y=(d0&a1&a0)|(d1&a1&a0)|(d2&a1&a0)|(d3&a1&a0)&g;endmodule代码四:用条件运算符描述的4选1 MUX。module mux4_1(y,d0,d1,d2,d3,g,a);output y;input d0,d1,d2,d3;input g;input1:0 a;assign y=g?(a1?(a0?d3:d2):(a0?d1:d0):0;endmodule5-20module mux8_1(y,d0,d1,d2,d3,d4,
36、d5,d6,d7,g,a);output y;/选择输出端input d0,d1,d2,d3,d4,d5,d6,d7;/8个数据源input g;/使能端input2:0 a;/三位地址码reg y;always (*)begin if(g=0) y=0;elsecase(a2:0)3'b000:y=d0;3'b001:y=d1;3'b010:y=d2;3'b011:y=d3;3'b100:y=d4;3'b101:y=d5;3'b110:y=d6;3'b111:y=d7;default:y=0;endcaseendendmodul
37、e5-23代码一:门级结构描述的2选1 MUX。module mux2_1(out,a,b,sel);output out;input a, b, sel;not(sel_,sel);and (a1,a,sel_), (a2,b,sel);or(out,a1,a2);endmodule代码二:行为描述的2选1 MUX。module mux2_1(out,a,b,sel);output out;input a,b,sel;reg out;always(a or b or sel)beginif (sel) out=b;else out=a;endendmodule代码三:数据流描述的2选1 MU
38、X。module mux2_1(out,a,b,sel);output out;input a,b,sel;reg out;always(a or b or sel)beginif (sel) out=b;else out=a;endendmodule5-26module demux4(y0,y1,y2,y3,din,a);output y0,y1,y2,y3;/4个数据通道input din;/数据输入端input1:0 a;/两位地址码reg y0,y1,y2,y3;always(din,a)beginy0=0; y1=0; y2=0; y3=0;case(a1:0)2'b00:y
39、0=din;2'b01:y1=din;2'b10:y2=din;2'b11:y3=din;default:;endcaseendendmodule5-29module comparator(y1,y2,y3,a,b);output y1,y2,y3;/比较结果input3:0 a,b;reg 3:0 y1,y2,y3;always (a,b)beginif(a>b)beginy1=1;y2=0;y3=0;endelse if(a=b)beginy1=0;y2=1;y3=0;endelse if(a<b)beginy1=0;y2=0;y3=1;endenden
40、dmodule5-32代码一:采用行为描述的1位半加器。module adder(sum,cout,a,b);output sum, cout;input a,b;reg sum, cout;always(a or b)beginsum=ab;cout=a&b;endendmodule代码二:采用行为描述的1位半加器。module adder(sum, cout,a,b);output sum,cout;input a,b;reg sum,cout;always(a or b)begincout,sum=a+b;endendmodule代码三:采用门元件实现的1位半加器。module
41、adder(sum, cout,a,b);output sum,cout;input a,b;and(cout,a,b);xor(sum,a,b);endmodule代码四:数据流方式描述的1位半加器。module adder(sum, cout,a,b);output sum, cout;input a,b;assign sum=ab;assign cout=a&b;endmodule 代码五:采用行为描述的1位半加器。module adder(sum,cout,a,b);output sum,cout;input a,b;reg sum,cout;always(a or b)beg
42、incase(a,b)/真值表描述2'b00:begin sum=0;cout=0;end2'b01:begin sum=1;cout=0;end2'b10:begin sum=1;cout=0;end2'b11:begin sum=0;cout=1;endendcaseendendmodule5-35代码一:行为描述的1位全加器。module full_adder(sum,cout,a,b,dcout);output sum,cout;/和数、进位input a,b,dcout;/被加数、加数、低位进位reg sum,cout;always (a,b,dcou
43、t)begincout,sum=a+b+dcout;endendmodule代码二:行为描述的1位全加器。module full_adder(sum,cout,a,b,dcout);output sum,cout;/和数、进位input a,b,dcout;/被加数、加数、低位进位reg sum,cout;always (a,b,dcout)beginsum=(ab)dcout;cout=(a&b)|(a&dcout)|(b&dcout);endendmodule代码三:调用门元件实现的1位全加器。module full_adder(sum,cout,a,b,dcout
44、);output sum,cout;/和数、进位input a,b,dcout;/被加数、加数、低位进位wire s1,m1,m2,m3;and(m1,a,b), (m2,b,dcout), (m3,a,dcout);xor(s1,a,b),(s, s1,dcout);or(cout,m1,m2,m3);endmodule代码四:数据流描述的1位全加器。module full_adder(sum,cout,a,b,dcout);output sum,cout;/和数、进位input a,b,dcout;/被加数、加数、低位进位assign sum=abdcout;assign cout=(a&
45、amp;b)|(b&dcout)|(dcout&a);endmodule代码五:数据流描述的1位全加器。module full_adder(sum,cout,a,b,dcout);output sum,cout;/和数、进位input a,b,dcout;/被加数、加数、低位进位assign cout,sum=a+b+dcout;endmodule代码六:混合描述的1为全加器。module full_adder(sum,cout,a,b,dcout);output sum,cout;/和数、进位input a,b,dcout;/被加数、加数、低位进位reg cout,m1,m2
46、,m3;wire s1;xor x1(s1,a,b);/调用门元件always (a or b or dcout)beginm1=a&b;m2=dcout&b;m3=a&dcout;cout=(m1|m2)|m3;endassign sum=s1dcout;endmodule5-38module full_adder4(sum,cout,a,b,dcout);output3:0 sum;/和数output cout;/进位标志input 3:0 a,b;/加数和被加数input dcout;/低位进位reg cout;reg3:0 sum;always (*)begin
47、cout,sum=a+b+dcout;endendmodule5-41module full_adder16(cout,sum,a,b,cin);output cout;parameter my_size=16;outputmy_size-1:0 sum;inputmy_size-1:0 a, b;input cin;adder my_adder(cout,sum,a,b,cin);/调用adder模块endmodule/下面为adder模块的代码module adder(cout,sum,a,b,cin);parameter size=16;output cout;outputsize-1:
48、0 sum;inputsize-1:0 a, b;input cin;assigncout,sum=a+b+cin;endmodule5-44代码一: module half_sub(dout,cout,a,b);output dout,cout;/差位、借位input a,b;/被减数、减数reg dout,cout;always (*)begindout=ab;cout=(a)&b;endendmodule代码二: module half_sub(dout,cout,a,b);output dout,cout;/差位、借位input a,b;/被减数、减数reg dout,cout
49、;always (*)begincout,dout=a-b;endendmodule5-47module sub(dout,cout,a,b,ci);output dout,cout;/差位、借位input a,b,ci;/被减数、减数、低位借位reg dout,cout;always (*)begincout,dout=a-b-ci;endendmodule5-50module sub4(dout,cout,a,b,ci);output 3:0 dout;/差值output cout;/借位input3:0 a,b;/被减数、减数input ci;reg 3:0 dout;reg cout;
50、always (*)begincout,dout=a-b-ci;endendmodule5-53方法一:用for语句实现2个8位数相乘。 module mult_for(outcome,a,b);parameter size=8;input size:1 a,b;/两个操作数output 2*size:1 outcome;/结果reg 2*size:1 outcome;integer i;always(a or b)beginoutcome=0;for(i=1;i<=size;i=i+1)/for语句if(bi) outcome=outcome+(a<<(i-1);enden
51、dmodule方法二:用repeat实现8位二进制数的乘法。 module mult_for(outcome,a,b);parameter size=8;input size:1 a,b;/两个操作数output 2*size:1 outcome;/结果reg 2*size:1 temp_a, outcome;regsize:1 temp_b;always(a or b)beginoutcome=0;temp_a=a;temp_b=b;repeat(size)/repeat语句,size为循环次数beginif(temp_b1)/如果temp_b的最低位为1,就执行下面的加法 outcome=outcome+ temp_a; temp_a= temp_a<<1;/操作数a左移一位temp_b= temp_b>>1;/ 操作数b右移一位endendendmodule5-56module voter7(pass,vote);output pass;input6:0 vote;reg2:0 sum;integer i;reg pass;always(vote)be
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- GB/T 11578-2025圣劳伦斯航道登岸吊杆
- GB 45672-2025车载事故紧急呼叫系统
- 行政处罚法律框架试题及答案
- 敬老院火灾应急预案(3篇)
- 行政法学复习要点试题及答案总结
- 高频考点2025年软考试题及答案
- 网吧火灾事故应急预案(3篇)
- 行政法与社会责任的权责界定研究试题及答案
- 软件水平考试的回顾与展望及试题及答案
- 行政法学知识拓展试题与答案
- 江西省房屋市政工程专职安全生产管理人员安全日志
- 知行合一:王阳明传
- 广告宣传栏及雕塑采购项目服务投标方案(技术标)
- 国开《Windows网络操作系统管理》形考任务4-配置故障转移群集服务实训
- 波浪理论基础图解
- 基于单片机的五岔路口交通灯方案设计
- 角的度量说课PPT
- 肥皂盒模具毕业设计
- 【辅助投篮机器人设计7600字(论文)】
- 山东财经大学辅导员考试真题2022
- 电力QC小组成果报告电力QC小组成果报告八篇
评论
0/150
提交评论