嵌入式课程设计报告_第1页
嵌入式课程设计报告_第2页
嵌入式课程设计报告_第3页
嵌入式课程设计报告_第4页
嵌入式课程设计报告_第5页
已阅读5页,还剩21页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、成绩_指导教师评定成绩:审定成绩:重庆邮电大学移通学院课程设计报告设计题目:LCD显示实验学校:重庆邮电大学移通学院学生姓名: 孙猜胜专业:电气工程与自动化班 级: 学号: 指导教师: 设计时间: 2015年 10月重庆邮电大学移通学院目录嵌入式系统课程设计任务书 3摘要5一、绪论61.1 ARM的含义61.2 ARM微处理器的特点及应用 61.3 嵌入式系统的定义 71.4 S3c2440微处理器概述 7二、系统分析及硬件设计 82.1 S3C2440芯片介绍82.2 系统电路设计112.3 电路原理图13三、系统软件设计 153.1 系统流程图153.2 系统程序设计16四、系统调试 18

2、4.1 LCD汉字显示实验步骤 184.2 显示字母和汉字 18五、总结19参考文献20附录21嵌入式系统课程设计任务书引言:嵌入式系统课程设计是自动化专业的一个重要教学环节,既有别于 毕业设计,又不同于课堂教学。它需要学生统筹运用所学各个专业的基本理论、 基本方法对现实生活中的实际问题进行设计和调试。一、设计题目:LCD显示实验本次设计LCD显示汉字和字母,要求掌握嵌入式系统设计的基本方法,巩 卜和加深对理论课中知识的理解,提高对所学知识的综合运用能力。二、设计内容(1)编写图形显小函数,在 LCD上显小图形(2)编写HZK16实取函数,在LCD上显示汉字三、设计目的(1) 了解LCD显示的

3、基本原理(2) 了解LCD的接口与控制方法(3)掌握LCD显示图形的方法(4)掌握LCD显示字符的方法(本次实验显示汉字)四、实验设备(1) JXARM9-244傲学实验箱(2) ADT1000©真器和ADT IDE集成开发环境(3)串口连接线五、设计步骤:(1)对系统进行需求分析;(2)初始化配置(各种寄存器);(3)编写各种相关的中断程序并在主函数中调用这些程序;(4)编译程序;(5)使用仿真器进行调试。六、设计要求1 .作业由两人一组完成,作业完成时要提交设计报告书、固化在教学平台 中的可执行代码(附录)。2 .设计报告书要求:(1)对设计题目的描述。(2)设计思路说明,包括功

4、能实现方法要点,硬件资源的使用,显示符 号的约定说明等。(3)软件总体结构,层次,功能划分等,核心代码注解。(4)软件的调试修改过程,其中遇到的问题如何解决的,有什么技术难点 问题。(5)对完成的设计进行总结,说明哪些地方没有达到设计要求,是什么原 因;哪些地方超过了设计要求,有什么优点和特色;有哪些改进设想和建议。3.程序代码固化在平台的片内flash中,上电后可以自动运行。4.结课时收纸质版作业。摘要LC懿晶显示器由于具有体积小、重量轻、低电压、低功耗等特点,因此适 合于结合大规模集成电路开发出各种便携式显示产品,具有十分广阔的市场前 景。LCD1示器是通过给不同的液晶单元供电,控制其光线

5、的通过与否,从而达 到显示的目的。因此,LCD勺驱动控制归于对每个液晶单元通断电的控制,每个 液晶单元都对应着一个电极,对其通电, 便可使用光线通过。S3c44B即具有内 置的LCD空制器,它具有将显示缓存中的图象数据传输到外部 LCD!动电路的逻 辑功能。在嵌入式系统中,数据和命令通过网络接口或用行口经过 AR帆序处理后, 或显示在LCDh,或传卒到远端PCh, LCD!实现人机交互的一个重要通道。关键词:嵌入式系统ARM 液晶屏LCD随着计算机技术、微电子技术和网络技术的迅速发展,嵌入式系统在工农 业等诸多领域得到了广泛的应用。传统的 8位单片机已经暴露了本身资源有限 的缺点,越来越不能适

6、应日渐复杂的应用需求, 而随着32位处理器价格的不断 下降,采用更高性能的 32位处理器作为嵌入式系统的核心成为更加合理的选 择。ARMfct理器是目前公认的业界领先的 32位嵌入式RISC微处理器,已成为 许多行业嵌入式解决方案的 RISC标准1。开发一个集嵌入式控制、高速数据 采集和网络通信于一体,并提供友好的人机操作界面的硬件平台和多种总线接 口,对于提高智能嵌入式系统可靠性、组网灵活性很有意义。据此本文主要完 成了以太网接口,US瞰口,UART® 口,含触摸屏的LCD显示接口,IIS音频 接口以及电源管理单元电路的嵌入式系统的硬件设计。1.1 ARM的含义ARM! Advan

7、ced RISC Machines的缩写,既可以认为是一个公司的名字, 也可以认为是对一类微处理器的通称,还可以认为是一种技术的名字。1991年ARM公司成立于英国剑桥,本身不直接从事芯片生产,主要出售芯片设计技术 的授权半导体生产商从 AR泌司购买其设计的ARM散处理器核,根据各自不同 的应用领域,加入适当的外围电路,从而形成自己的ARM散处理器芯片进入市场。目前,基于ARMK术的微处理器应用约占据了 32位RISC微处理器75%Z 上的市场份额,ARMK术正在逐步渗入到我们生活的各个方面。1.2 ARM微处理器的特点及应用AR储构是面向低预算市场设计的第一款 RISC微处理器,除了 RIS

8、C的一 些特点外,ARM体系结构还采用了一些特别的技术,在保证高性能的前提下尽 量缩小芯片的面积,并降低功耗。 ARM散处理器具有体积小、低功耗、低成本、 高性能;支持Thumb(16位)/ARM(32位)双指令集;大量使用寄存器,指令执行 速度更快;大多数数据操作在寄存器中完成; 寻址方式灵活简单,执行效率高; 指令长度固定等特点。ARM微处理器主要应用在工业控制、无线通讯、网络应用、消费电子、成像产 品、安全产品、存储产品、汽车行业等八个领域。1.3 嵌入式系统的定义嵌入式系统被定义为以应用为中心,以计算机技术为基础、软件硬件可裁 剪、适用于应用系统对功能、可靠性、成本、体积、功耗等严格要

9、求的专用计 算机系统1 0嵌入式系统的最大特点是其所具有的目的性或针对性,即每一套嵌入式系统的开发设计都有其特殊的应用场合与特定功能,这也是嵌入式系统 与通用计算机系统最主要的区别。1.4 S3c2440微处理器概述S3C244Q1 Samsung司设计的一款高性价比16/32位ARM床列微处理器, 内含一个由AR般司设计的16/32位ARM920T RISC处理器核,采用五级流水 线和哈佛体系结构,工作频率最高可达 533MHz同时还具备体积小、成本低、 功耗低、资源众多等诸多特点。内部集成的常用资源 4主要有:外部存储控制器(SDRAM空制和片选逻辑);LCD控制器(最大支持 4K色STN

10、和256K色TFT屏),提供1通道LCD用DMA 4通道DMA有外部 请求引脚;3通道UART(IrDA1.0, 64字节TxFIFO和64字节RxFIFO); 2通道 SPI; 1通道IIC-BUS接口(支持多主机);1通道IIS-BUS音频编码解码器接口; AC 97解码器接口 ;兼容SD卡接口协议1.0版和MMC* 2.11版;2端口 USB 主机和1端口 USBS备;4通道PWMI时器和1通道内部定时器,看门狗定时 器;8通道10比特ADCffi触摸屏接口;具有日历功能的 RTC相机接口(最大 支持4096*4096像素);130个通用I/O 口和24通道外部中断源等资源4。这 些资源

11、大大的方便了应用系统的开发,同时节约开发成本,缩短开发周期,提 高了系统的性价比。:、系统分析及硬件设计2.1 S3c2440芯片介绍2.1.1 工作原理LCD显示器是通过给不同的液晶单元供电,控制其光线的通过与否,从而 达到显示的目的。因此,LCD的驱动控制归于对每个液晶单元通断电的控制, 每个液晶单元都对应着一个电极,对其通电,便可使用光线通过(也有刚好相 反的,即不通电时光线通过,通电时光线不通过)。光源的提供方式有两种:透射式和反射式。笔记本电脑的LCD显示屏即为透射式,屏后面有一个光源,因此外界环境可以不需要光源。而一般微控制器 上使用的LCM反射式,需要外界提供光源,靠反射光来工作

12、2.1.2 LCD的驱动控制(1)总线驱动方式一般带有驱动模块的LCD显示屏使用总线驱动方式,这种 LCD可以方便地 与各种低档单片机进行接口 ,如8051系列单片机。由于LCD已经带有驱动硬件 电路,因此模块给出的是总线接口,便于与单片机的总线进行接口。驱动模块 具有八位数据总线,外加一些电源接口和控制信号。而且还自带显示缓存,只 需要将要显示的内容送到显示缓存中就可以实现内容的显示。由于只有八条数 据线,因此常常通过引脚信号来实现地址与数据线复用,以达到把相应数据送 到相应显示缓存的目的。(2)扫描器控制方式扫描器控制方式LCD显示屏没有驱动电路,需要与驱动电路配合使用。这 种LCD体积小

13、,但需要另外的驱动芯片。通常可以使用带有LCE区动能力的高档MCU区动,如ARMS歹U的S3C2440S3c2440中具有内置的LCD控制器,它具有将显示缓存中的图象数据传输 到外部LCCB动电路的逻辑功能。S3c2440中内置的LCD空制器可支持灰度LCD 和彩色LCD可以支持单色、4级灰度和16级灰度模式的灰度LCD以及256级 彩色。对于不同尺寸的 LCD具有不同数量的垂直和水平象素、数据接口的数据宽度、接口时间及刷新率,而LCD控制器可以进行编程控制相应的寄存器值, 以适应不同的LCD显示板。2.1.3 S3c2440 LCD控制器逻辑框图System Bus2.1.4 S3C2440

14、 LCD控制器外部接口信号表±47 S3C2410X LCD控制器接口信号说虾功能LTD控制器和LCD驱动 器之间的赖同步信号1官通知LCD屏新的一帧的显示,USD控制罂在一个靠整顿的显示后接出VFRAfflE 信号UCD控制器和LCD驱动 器间的行同步脉冲信号LCD舞动器通过它来将水平移位寄存器中的内容显示到LCD屏上.翼口控制 器在一整行数据全都传输到O驱动器后发出皿信号口VCLER刷新时钟此信号为LCD控制需和I£D 31动爆之间的原素时钟信号,LCD控制黜VCLK的上升沿发送教雪,LCD躯动器在VC山的下降沿采样数据阳LCD驱动器所使用的交 流信号LCD驱动器使用V

15、W信号来改变用于打开或关闭象索的行和列电压的板也 信号在每一幢触发,也可在编程位揩定数量的VUNE信号后触捻口产VD3:Q 仍数据线4LCD象素数据输出靖口,双扫描时的低4位数据输入力数据哪O象素数据输出端口双扫描时的高4位数据输入2.1.5 JXARM9-2440 LCD 图形显示方式JXARM9-2440勺LCD显示模块由S3c2440的LCD控制器和256色彩色LCD 显示器组成。其显示方式以直接操作显示缓冲区的内容进行,LCD控制器会通过DMAR显示缓冲区中获取数据,不需要 CPUFF预。本系统采用的LCD分辨率为320X240,工彳在256色彩色显示模式,在该模式下,显示缓冲区中的一

16、个字节数据代表 LCD上的一个点的颜色信息,因此, 所需要的显示缓冲区大小为 320X240X1字节。其中每个字节的彩色数据格式如 下图所示Bit 7;5Bit 4:2 RedGreenBlue2.1.6 JXARM9-2440 LCD 控制器初始化初始化LCD®口,由于LCD空制端口与CPU勺GPIO端口是复用的,因此必 须设置相应寄存器为LCE区动控制端口申请显示缓冲区,大小为 320X240X1字节初始化LCD空制寄存器,包括设置LC吩辨率,扫描频率,显示缓冲区等 2.1.6 LCD字符显示LCD字符显示就是将字库(汉字字库、英文字库或者其他语言字库)中的 字模以图形方式显示在

17、LCD上,具显示原理和图形显示没有差别,只要把汉字 当成一幅画,画在显示屏上就可以了。关键在于如何取得字符的图形,也就是 字符的点阵字模。在常用的汉字点阵字库文件。例如常用的16X16点阵HZK1故件,按汉字 区位码从小到大依次存有国标区位码表中的所有汉字。2.1.7 汉字字模一个汉字字模占用的字节数根据汉字库的汉字大小不同而不同。以 HZK16 点阵字库为例,字模中每一点使用一个二进制位(Bit)表示,如果是1,则说明此处有点,若是 0,则说明没有。这样,一个16X 16点阵的汉字总共需要16*16/8=32个字节表示。字模的表示顺序为:先从左到右,再从上到下,也就是先画左上方的8个点,再是

18、右上方的8个点,然后是第二行左边8个点,右边8个点,依此类推, 画满16X16个点。因此,HZK16中汉字在汉字库中具体位置的计算公式为: (94*(qh-1)+(wh-1)*32。汉字“房”的机内码为十六进制的“ B7BF,其中“B7'表示区码,“BF' 表示位码。所以“房”的区位码为0B7BFH-0A0A0H=171FHB区码和位码分别转换为十进制得汉字“房”的区位码为“ 2331”,即“房”的点阵位于第23区的第31个字的位置,相当于在文件HZK16中的位置为第 32X (23-1) X94+(31-1)=67136B以后的32个字节为“房”的显示点阵。2.2 系统电路设

19、计2.2.1 硬件系统结构为降低开发难度,方便二次开发,系统采用核心板加底板的设计方案,核 心板和底板之间通过连接器相连。这样可以在核心板不变动的情况下,更改底 板的功能组成,以适用不同的功能。同时,由于核心板采用多层PCB板设计,而底板采用双层板即可,从而大大的降低了系统的成本。系统硬件结构如图微处理器:即S3c2440A为系统的控制中心;Flash电路:用于存放嵌入式操作系统及用户应用程序,其特点是系统掉电后 数据不易丢失;SDRAW路:系统代码的运行场所,其特点是系统掉电后数据即丢失;晶振电路:12MHz的无源晶振通过芯片内部的 PLL电路为S3c244A05片提供 高达533MHz勺时

20、钟频率JTAGg口 :采用AR泌司的提供的标准20脚JTAG仿真调试接口电路,S3C2440A 芯片内部有JTAGa,因此,可以通过外部 JTAG调试电缆或仿真器与开发系统 连接调试和编程。复位电路:为系统提供复位信号。为防止干扰信号引起误复位操作,常采用专用复位芯片来产生复位信号。如: MAX81传。2.2.2 LCD控制器电路LCD空制器用来传输图像数据并产生相应的控制信号 ,S3C2440ALCD空制器 能支持高达4K色STN屏和256K色TFT屏,支持1024X 768分辨率下的各种液晶 屏,具有LCD专用DMA LCD控制器产生的控制信号和数据信号主要有: VFRAMELCD控制器和

21、LCD驱动器之间的帧同步信号,LCD控制器在一个完整 帧显示完成后插入一个VFRAME号,开始新一帧的显示。VLINE: LCD空制器和LCD驱动器之间的行同步信号,LCD控制器在整行数据移入 LCD驱动器后插入一个VLINE信号。VCLK LCD控制器和LCD驱动器之间的像素时钟信号,由LCD控制器送出的数 据在VCLK勺上升沿处送出,在VCLK勺下降7&处被LC/区动器采样。VM数据输出使能信号,在VM信号跃变成高电平后行数据信号开始由 LCD空制 器输出至LCCB动器,当VM信号跃变为低电平后数据输出停止。数据线:也就是RGB言号线,S3c2440A LCD空制器有VD0:23共

22、24根数据线, 数据格式不同,接线方式就不同。本文用的是 RGB56附式,只需要16根数据线 (红色5根,绿色6根,蓝色5根)。其数据线接线方式所示:*£VD1GK?vd5 aa:VDSG或情1?3917庶尔H翊IVK 曲:LGjSS:EK7WCKJVDM 丽wuopicscr0即$HUR二LJHCKf,"I"溢:BUR aBOEK:仁Y旺招6m皿状.年:口雷二二在文联;心由VKlxSSLRK2口姻宓hL1wL*皿肾VTOi德丁 出:ri三s2比幅 FT再支?J二:已irmil科七门纥'FX越第2羽皿 丝网3图2-1 LCD控制器电路2.2.3 时序和数据

23、匹配电路由于S3C2440A的LCD空制器与LCDS LQ035Q7DH01数据格式及显示时序上无法匹配,需要选用一种时序控制IC或者用CPLD也就是通常所说的LCD伴侣芯片)来对不同数据格式的数据接口进行映射。但CPLD面积较大、成本较高,因而通常只在需要对电路进行灵活配置的情况下才使用。本文时序控制IC选用夏普公司的LZ9FC22该芯片专用于对TFT型QVG/W幕(屏幕分辨率320 X240)的LCD!行时序控制。这是一个18bit(R6G6B6)的控制器,由于本文采用 的是RGB565 16位工作模式,所以将其4U入引脚R0和B0接地。时序和数据匹 配电路如图所示:心丁£ *

24、4 sl丁宗二 £ 至图2-2时序和数据匹配电路2.3 电路原理图2.3.1 电路图Is三葭三 fc主史图2-3电路图2.3.2 多路电压产生电路LCD屏所需各电压及产生方式:起称杵号值产族方式敕字电JKVSHD2 V曲芯片LMl il7DT-1.3产生锦粮电VSH自5V由名片MAX16C4产生谓极疗身也压VPDI5VM芯片MAN1664产生的相 光电压2J ftV器分技得钏图跟美断电压VEE-IOV从芯片MAX1664产生公共也而VCOM从芯片MAXi6ft4产生LED普光业噩VLI2 L6V由芯片 MAX1664 产生图2-5显示驱动和LQ035Q7DH01接口电路图2-4多路电

25、压产生电路2.3.3 显示驱动和LQ035Q7DH01接口电路电路如图所示:3.1系统流程图三、系统软件设计图3-1液晶显小主流程图1C循南)图3-2初始化流程图3.2系统程序设计3.2.1 LCD初始化控制端口模块设计数据和控制端口初始化函数 portinit(),主要对端口 C控制寄存器和端 口 D控制寄存器进行配置。从而完成对数据端口 VD15:0和控制端口 GPG GPD初始化工作。初始化端口模块流程图如图 3-2所示。图3-3端口初始化模块流程图具体代码如下:static void Lcd_Port_Init (void )rGPCUP = 0xffffffff ; /禁止上拉电阻r

26、GPDUP = 0xffffffff ; /禁止上拉电阻rGPCCON = 0xaaaa02a8 ; / 初始化 VD7:0rGPDCON = 0xaaaaaaaa ; / 初始化 VD15:83.2.2 LCD初始化功能模块设计LCD要能正常工作,需根据其参数对其进行初始化。LCD初始化功能模块Lcd_Init(),通过配置LCDCON5:15个控制寄存器,完成模块初始化工作, 主要包括以下3个部分:1 .设定VCLK参数、显示模式为LCD面板、色彩模式为16BPP、禁止LCD控制信号和视频输出;2 .设定 VBPDD VFPD HBPD HFPD参数值;3.设置才3描LCD时的帧缓冲器开始

27、、结束地址,设定虚拟屏幕的偏移尺寸和结束初始化 端口 C控制寄存器初始化数据端口VD15:0开始初始化端口 D控制寄存器第四章 LCD全彩显示设计31帧中的视口宽度参数,禁止帧同步、 FIFO中断和临时调色板。初始化模 块流程图如图 3-3所示。图3-4初始化功能模块流程图具体代码如下:void Lcd_Init(void)/*CLKVAL=7, 16BPP 显示模式:TFT*/rLCDCON1 = ( LCD_PIXCLOCK << 8 ) ( 3 << 5 ) | ( 12 << 1 );/*VBPD=20, VFPD=3 VSPW=2*/rLCDCON

28、2 = ( LCD_UPPER_MARGIN << 24 ) | ( ( LCD_HEIGHT - 1 ) << 14 )| ( LCD_LOWER_MARGIN << 6 ) | ( LCD_VSYNC_LEN << 0 );/*HBPD=4 HFPD=3*/rLCDCON3 = ( LCD_RIGHT_MARGIN << 19 ) | ( ( LCD_WIDTH - 1 ) << 8 ) |(LCD_LEFT_MARGIN << 0 );/*HSPW=18*/ rLCDCON4=0x12 ;四、系统调试4.

29、1 LCD汉字显示实验步骤1 .参照创建好的模板工程 dispchar ,新建一个工程 dispchar ,参照dispchar 修改dispchar的工程设置,并添加LCD显示函数文件。2 .创建dispchar.c 并加入到工程 dispchar中。3 .编辑dispchar.c 文件,添加 Main函数,并在其中执行lcd_init 操作。4 .编写一个 hzk16 显示函数 void lcd_disp_hzk16(int x,int y,char *s,intcolour),将字符用s以colour颜色显示到LCD白(x,y)处,所使用的汉字库 在includehzk16.h 中以hz

30、k16数组表示。5 .在Main函数中,LCD初始化后,调用lcd_disp_hzk16 显示一串汉字。6 .编译dispchar ,成功后,下载并运行,观察结果4.2显示字母和汉字位代码0 00 00 000D 00 10 0D00 01110000 1101100110 0 0 1101 1 0 0 0 M 0 1 1 0 0 C 1 1 0 1 1 0 0 C 1 1 01 1 0 0 0 S 1 Q 0 0 0 0 0 0 0 0 00000000 0 0 0 0 0 0 0 0 0 0 Q 0 0 0 0 0字模信息皿期Ox叩(MQ0x38da 6cOxfeQkcS口 xc6UxcE

31、一加0x00Os 00hfOQ忖钝D H 0 I « 0 0 H 0 H 0 0 0 0 000 IOQO I HOOOOI 0 0 0 0 IOD 0 I 0 0 H 0 0 0H 0 MOO H I I t I 1 0Q d 1 U0 1 (J 0 9 0 H 0 0 0 1 0 I 0 WO e G E H 10 0 OH I 0 DM 9 0 H 0 t 0 0 HOI40GOIHHHO 0 M I HH ) H H 0 00 0 0 I (» DD 10 0 M 31 0 o o o u o i o e o e o o e o DMIHHMIHHO M0 I 40

32、H 0 Q E HH 0 0 0 0 I OOH I 0 E H t 0 0 DM I » DM 0 I 0 0 0 t 0 0的 h咻以前QuL:M的耳保加 OHIO. Or:? 以由,以虑 取电加。 Mg 奥匕奥汇 hLQg 匐叫 talO?liifl Or期由两显示汉字你'显示字母A'图4-1 显示字母和汉字五、总结在这次的课程设计中不仅检验了我所学习的知识,也培养了我如何去把握 一件事情,如何去做一件事情,又如何完成一件事情。课程设计是我们专业课 程知识综合应用的实践训练,也是我们迈向社会,从事职业工作前一个必不少 的过程。通过这次课程设计,我深深体会到这句千

33、古名言的真正含义.我今天 认真的进行课程设计,学会脚踏实地迈开这一步,就是为明天能稳健地在社会 大潮中奔跑打下坚实的基础。在这次设计过程中,体现出设计ARM区动程序的能力以及综合运用知识的能力,体会了学以致用、突出自己劳动成果的喜悦心 情,从中发现自己平时学习的不足和薄弱环节,从而加以弥补。在此感谢我们的课设老师.,老师严谨细致、一丝不苟的作风一直是我工作、 学习中的榜样;老师循循善诱的教导和不拘一格的思路给予我无尽的启迪。同 时感谢对我帮助过的同学们,谢谢你们对我的帮助和支持。参考文献【1】TDO35STEBT FTLEDspecificationZ. Toppoly Optoelec tr

34、onics Corp,2000.【2】张蛤.32位嵌入式系统硬件设计与调试M .北京:机械工业出版社,2005.【3】孙天泽,袁文菊,张海峰.嵌入式设计及 Linux驱动开发设计一一基于ARM处理器M.北京:电子工业出版社,2005.【4】Corbet J, Rubini A, et a1. Linux设备驱动程序(第二版)M.魏永明, 骆刚,等译.北京:中国电力出版社,2002.【5】唐泽圣,周嘉玉,李新友.计算机图形学基础M .北京:清华大学出版社, 1995.源程序#define GLOBAL_CLK1#include "def.h"#include "op

35、tion.h"#include ”2440addr.h"#include "2440lib.h"#include "2440slib.h"#include "word.h"/*#define LCD_W35*#elif defined(LCD_W35)*#define LCD_WIDTH定义*#define LCD_HEIGHT*#define LCD_PIXCLOCK*#define LCD_RIGHT_MARGIN*#define LCD_LEFT_MARGIN*#define LCD_HSYNC_LEN*#d

36、efine LCD_UPPER_MARGIN*#define LCD_LOWER_MARGIN*#define LCD_VSYNC_LEN*#define LCD_CON5*/附录320 宽/在option.h文件里面有他们的240 高4时钟参数0x44 右边沿0x04 左边沿0x01行无效脉冲宽度10上边沿4下边沿1列无效脉冲宽度(1<<11) | (1<<8) (1<<9) (1<<0)/24bpp,定义为(unsigned int) 32unsigned int lcd_bufLCD_HEIGHTLCD_WIDTH;位void delay(

37、unsigned int times);void lcd_init(void);void lcd_brush_background(unsigned int color);void lcd_draw_circle(unsigned int color, unsigned int radius);void lcd_draw_word(unsigned int x, unsigned int y, unsigned int color, const unsigned char ch);void lcd_draw_ascii(unsigned int x, unsigned int y, unsig

38、ned int color, const unsigned char ch);void lcd_put_pixel(unsigned int x, unsigned int y, unsigned int color);int Main(void)lcd_init();lcd_brush_background(0xffffft);lcd_draw_word(80, 100, 0x00ff00, *word);lcd_draw_word(80, 200, 0x00ff00, *(word + 4);lcd_draw_ascii(130, 80, 0xff0000, *(word + 8);lcd_draw_ascii(130, 155, 0xff0000, *(word + 10);lcd_draw_ascii(130, 230, 0xff0000, *(word + 12);while (1);return 0;void delay(unsigned int times)int i;for (;times > 0; times-)for (i = 0; i < 400; i+);void lcd_init(void)rGPCCON=0xaaaa02a9;管脚配置

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论