




版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、SystemCSystemVerilogVerilog HDLVHDL198720192019futureHDL言语国际规范言语国际规范综合综合仿真仿真ALTERAXILIINXSynplify Pro Mentor LeonardoSpectrum Synopsys Express Modelsim QuartusII NiosII EDS SOPC Builder DSP BuilderISEEDKSDKSystem Generator VHDL言语言语全称:全称: Very-High-Speed Integrated Circuit Hardware Description Langua
2、ge 是一种非常高速硬件是一种非常高速硬件(数字电路数字电路)描画言语。主要用于描画描画言语。主要用于描画数字系统的构造,功能和接口。言语方式和描画风格与句数字系统的构造,功能和接口。言语方式和描画风格与句法非常类似于普通的计算机高级言语法非常类似于普通的计算机高级言语 。 来源于八十年代,由美国国防部开发来源于八十年代,由美国国防部开发 两个规范两个规范:VHDL87、VHDL93 由软件设计到硬件实现之间的媒介由软件设计到硬件实现之间的媒介 CPLD / FPGA (可编程器件可编程器件)电子系统的设计模块电子系统的设计模块VHDL设计设计VHDL文件文件库库Library程序包程序包Pa
3、ckages声明在设计或实体中将用到的常数,数据声明在设计或实体中将用到的常数,数据类型,元件及子程序等类型,元件及子程序等实体实体Entities 声明到其他实体及声明到其他实体及其他设计的接口,其他设计的接口,即定义本设计的输即定义本设计的输入入/出端口出端口构造体构造体Architectures 定义了实体的实现。定义了实体的实现。即电路的详细描画即电路的详细描画VHDL根本构成根本构成 Entity(实体Architecture 1构造体构造体Architecture N process(进程构造进程构造 block(块构造 subprograms(子程序子程序 procedure过程
4、 function(函数函数 VHDL VHDL程序的根本构造程序的根本构造用于描画设计的系统的外部接口用于描画设计的系统的外部接口用于描画系统内部的构造和行为用于描画系统内部的构造和行为安装详细元件到实体安装详细元件到实体-构造体对构造体对存放设计模块共享的数据类型、常数和子程序等存放设计模块共享的数据类型、常数和子程序等专门存放预编译程序包的地方专门存放预编译程序包的地方library IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_unsigned.all;entity count is port ( clock,reset: in
5、 STD_LOGIC; dataout: out STD_LOGIC_VECTOR (3 downto 0) );end count;architecture behaviorl of count is signal databuffer: STD_LOGIC_VECTOR (3 downto 0); begin dataout=databuffer; process(clock,reset) begin if (reset=1) then databuffer=0000; elsif (clockevent and clock=1) then if databuffer=1000 then
6、databuffer=0000; else databuffer=databuffer+1; end if; end if; end process; end behavioral; VHDL 程序结构 实体描述 结构体描述 1行为描述法 2数据流描述法 3结构化描述法 结构体间通信 设 计 实 体 结构体 1 结构体 n 实体:实体:VHDLVHDL程序的描画对象称为实体。简单的实体是由实体阐明程序的描画对象称为实体。简单的实体是由实体阐明 和构造体两部分组成的。和构造体两部分组成的。1 1实体实体 ENTITY ENTITYVHDLVHDL的描画对象称为实体。由实体阐明部分和构造体的描画对
7、象称为实体。由实体阐明部分和构造体部分组成部分组成 端口名端口名 :端口方式:端口方式 数据类型数据类型); ENTITY 实体名实体名 IsEnd 实体名;实体名; Port ( 端口名端口名 :端口方式:端口方式 数据类型;数据类型;A7.0B7.0SUM7.0CoCi2构造体 (ARCHITECTURE)构造体详细地描画了设计实体的逻辑功能或内部电路构造关系,从而建立设计实体输入与输出之间的关系。构造体功能可以用三种方式进展描画,即行为描画、数据流描画、构造描画,另外,还可以采用混合描画。三种描画方式:三种描画方式: 行为描画方式行为描画方式(behavioral)(behavioral
8、)数据流描画方式数据流描画方式(dataflow)(dataflow)构造描画方式构造描画方式(structure)(structure)结构体(ARCHITECTURE)说明语句功能描述语句块语句(BLOCK)进程语句(PROCESS)信号赋值语句子程序调用语句元件例化语句ARCHITECTURE 构造体名构造体名 Of 实体名实体名 IsBegin 功能描画语句;功能描画语句;-并行语句并行语句 End 构造体名;构造体名;ENTITY mux IS PORT(d0, d1 : IN BIT ; Sel : IN BIT ; G : OUT BIT) ;END MUX ;ARCHITECT
9、URE dataflow OF mux IS BEGIN g=(D0 AND sel) OR (NOT sel AND D1);END dataflow; LIBRARY IEEE;USE IEEE.STD_LOGIC.1164.ALL;muxGSELD0D1mux库,包阐明库,包阐明n系统设计的描画等级-行为级系统设计的描画等级-逻辑门级系统设计的描画等级-RTL级4 4库库 LIBRARYLIBRARY库库LibraryLibrary是用于存放预先编译好的程序包是用于存放预先编译好的程序包PackagePackage,程序包中定义了数据集合体、逻辑操作,程序包中定义了数据集合体、逻辑操作和
10、元件等。主要是声明在设计或实体中将用到的常数,和元件等。主要是声明在设计或实体中将用到的常数,数据类型,元件及子程序等。数据类型,元件及子程序等。 IEEE库库 STD_LOGIC_1164、NUMERIC_BIT、NUMERIC_STD Synopsys STD_LOGIC_SIGNED、 STD_LOGIC_UNSIGNED、 STD_LOGIC_ARITH STD库:库: STANDARD、TEXTIO 假设运用假设运用STANDARD包中的数据可以不按规范格式包中的数据可以不按规范格式阐明,但是假设运用阐明,但是假设运用TEXTIO包,那么需求按照如下格包,那么需求按照如下格式阐明:式阐明: LIBRARY STD; USE STD.TEXTIO.ALL 运用格式:运用格式:LIBRARY LIBRARY 库名;库名; USE 库名库名. 程序包名程序包名. 工程名;工程名; 例: LIBRARY IEEE USE ieee.std_logic_1164
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 杭州市滨江区九年级(上)期末数学试卷
- 49 选择性必修2 第八单元 第40讲 种群数量的变化
- 2024年河北省中考真题及答案
- 生态环保地下室租赁与合作治理协议
- 拆除房屋及后续规划开发协议
- 智能家居产业厂房转租及智能家居产品研发合同
- 生字教学常规课件图片
- 鸿合教学一体机课件在哪
- 2024-2025学年福建省龙岩市连城县一中高一下学期月考化学试题及答案
- 运输企业社会责任考核试卷
- 职业行为习惯课件
- 高校智能化教学评价体系变革的技术创新路径研究
- 高中复读协议书
- 2024年甘肃省临泽县教育局公开招聘试题含答案分析
- 2025-2030中国戊烷发泡剂市场深度解析及前景运行动态研究报告
- 广东省东莞市2022-2023学年高二下学期期末物理试题(含答案)
- 移植物抗宿主病分期及护理
- 2024年深圳市中考生物试卷真题(含答案解析)
- DB31/T 1402-2023养老机构认知障碍照护单元设置和服务要求
- 防腐工程项目建议书(立项报告)
- 2025年安全管理员安全培训考试试题附参考答案(综合题)
评论
0/150
提交评论