用vhdl在qartusII实现或非_第1页
用vhdl在qartusII实现或非_第2页
用vhdl在qartusII实现或非_第3页
用vhdl在qartusII实现或非_第4页
用vhdl在qartusII实现或非_第5页
已阅读5页,还剩1页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、与门Libraryieee;Useieee.std_logic_1164.all;EntityanisPort(d1,d2:instd_logic;op:outstd_logic);Endan;Architecturem1ofanisBeginop=1when(d1=1andd2=1)elseO;Endm1;Libraryieee;23Useleee*scdlogic1164*all;5罠SEntityaniso7賢EPortdi,d2:instd_lcgic;w9op:口口匸3tdlogic);1011Endan;1213EAxcriitectuxeitlofanis14Begin1617o

2、p=1|when(dl=1*and亡、Q、;iaEndml;20MasterTimeBar:MasterTimeBar:Libraryieee;Interval:-9,63nsStartZips9.675nsj*|Pointer:10.0nsT9675nsJUseieee.std_logic_1164.all;EntityoorisPort(d1,d2:instd_logic;op:outstd_logic);Endoor;Architecturem1ofoorisBeginop=0when(d1=0andd2=0)else1;Endm1;Libraryieee;Useieee,std_log

3、ic_1164Tall;HEntityoorisSEcru(dlrd2:instd_logic;op:outstdlcqic);Endoor;SArchitecturemlofoorisSBeginop=*01whendl=*01andd2=101)elseITEndmi:Jpm10r0ns9.675JHE非门Libraryieee;Useieee.std_logic_1164.all;EntityntisPort(d1:instdogic;op:outstd_logic);Endnt;ArchitecturemlofntisBeginop=0when(d仁1)else1;Endm1;Libraryieee;23Useieee.svdlogic1164,all;弓BEnsleyncis6SPort(dl:instd_logic;89op:outsvdlogic);10Endnc;12HArchitec匸mlofntis14SBegin16opOwhene

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论