11同步时序电路逻辑设计实验_第1页
11同步时序电路逻辑设计实验_第2页
11同步时序电路逻辑设计实验_第3页
11同步时序电路逻辑设计实验_第4页
11同步时序电路逻辑设计实验_第5页
全文预览已结束

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

数字电路-11同步时序电路逻辑设计实验实验目的掌握Mealy型时序电路的设计方法。验证所设计电路的逻辑功能。体会状态分配对电路复杂性的影响。实验原理同步时序电路有Mealy型和Moore型两类:Mealy型同步时序电路的输出是时序逻辑电路状态及控制输入的函数;Moore型同步时序电路的输出只是时序电路状态的函数。但这两种电路的设计过程是基本相同的,设计步骤如下:分析设计任务,设置状态,画出状态转换表。这是设计过程中最重要的一步,只有对所设计的任务有全面深刻的理解,并且掌握一定设计经验和技巧,才能作出一个既完整又简单的状态转换图或状态转换表。状态化简。状态的多少直接影响到电路的复杂程度,因此,设计时必须把原始状态化简为“最简状态”。同时根据化简后的状态数来确定“记忆电路”的单元数。如果化简后的状态数是“m”,则记忆单元数邛为Y>log?my取整数。状态分配。这是利用二进制码对状态进行编码的过程,其目的在于简化时序逻辑电路中的组合网络。状态分配是一个非常困难的问题,只能在设计时进行比较才能判别状态分配是否合理。触发器选型。通常可通过实际所能提供的触发器类型进行设计。选定触发器后,则根据状态转换真值表和触发器激励表作出触发器控制输入函数的卡诺图,然后对卡诺图化简,求出各触发器的激励函数和输出函数。检查自启动能力,作出电路图。若电路所选用的触发器个数y与电路的有效状态数n满足2y>n,则有2y-n个无效状态存在。在设计时,没有考虑无效状态的控制和输出。而电路在上电时的状态是随机的,可能处于无效状态。所以设计完成后,必须分析电路处于无效状态时的工作情况。若随着时钟脉冲的输入,电路能自动转换到有效状态并实现正确的逻辑控制输出,则电路具有自启动能力。否则,必须修改电路使之能够自启动。下面通过一个例题说明其设计过程。例设计“111”序列检测器解(1)分析题义,设置状态,画出状态转换图表要设计的电路有一串行输入端乂和一串行输出端丫。输入乂是一随机信号,每当连续输入3个“1”时,检测器输出为“1”,其余情况下输出“0”。例如,输入X序列

010111011110…;输出Y序列000001000100…。分析输入、输出关系可见,当连续输入3个“1”,对应输出1个“1”,在3个“1”以后不论输入为“1”还是为“0”,都输出为“0”。因而要有4个状态,记作S,S,S和S,其中,S为电路初态。S是输入第1.0.12301一个“1”以后的状态。S2是连续输入2个“1”以后的状态。S3是连续输入3个“1”以后的状态。由这4个状态可作出原始状态转换图(图11-1)和状态转换表(表11-1)。(2)状态化简在原始状态中可能会有“?”状态,通过状态化简,清除原始状态中的“?”状态,可减少时序逻辑电路中记忆单元的数量,简化逻辑电路。作表11-2的蕴含状态表进行状态化简。对表11-2作追寻比较,只有S0S和是属于等价类。可见最简状态是(S0和S3),S「52°3个。为方便起见,令'之(s°,S)—>S0S;1—〉s「S—>S从而作出表11-3所示的最简状态转展表。图11-1图11-1状态转换图r^__x_01S0S/0S1/0S0/0S1/0S1S0/0s2/12S0/0S/0SX01SSX01SS/0S/0aShaS/0Sb/0S“cS/0asc/1表11-3状态分配就是给每个简化状态分配一组二进制码。化简后的状态数m=3,则记忆单元数取2。两个记忆单元(即2个触发器)Q,Q2可以有4种状态:00,01,11和10,而电路

只需3个状态记忆。假如我们取5广00,七=01,S=11,则10为无效状态。编码形式的状态转换关系如图11-2所示,状态转换表列于表11-4。触发器选择目前中规模逻辑集成触发器主要有JK触发器和D触发器两种,从原理来说,任何一种触发器都可以实现逻辑要求,但哪一种触发器使电路简单,则需要求出激励函数、输出函数后方可确定。从状态转换表可作出表11-5所示的状态转换真值表。Qn2Qn1Qn+若选用JK若选用JK触发器,从表11-5可作出J,K,J,K和Y的卡诺图,如图11-3(a),(b),(c),(d)和®所示。从卡诺图可分别求出激励函数J,K,J,K和输出…2211函数Y。Qn+1/YX=0X=10000/001/00100/011/01100/000/11066表11-4图11-2简化状态转换图XQn2Qn1Qn+12Qn+11YJ2K2J1K1D2D1000000060600001000066100011000616100010666666666100010061601101110166011111001616100110666666666表11-5图所得,在此状态时,若X=1,则J2=0、、=1、匕=1、K1=1。即有时钟脉冲到来,Q从“1”状态转变为“0”,而Q从原来的“0”状态转变为“1”状态。同理当X=“0”时,Q2Q1从10状态转变为00状态,状态转换图如图11-5所示。可见该电路具有自启动功能,但输出Y的状态不正确,所以可修改Y=XQ2Q1。图11-3激励函数卡诺图及输出函数卡诺图图11-4激励函数与输出函数卡诺图(6)画出逻辑图按图11-3卡诺图求出的激励函数和输出函数可画出图11-6所示的逻辑电路图。图11-5“111”系列检测无效状态转换图图11-6“111”序列检测逻辑电路原理图实验预习要求复习教材中关于Mealy型同步时序电路的特征及设计电路的基本步骤。仔细阅读实验原理中的例题的设计过程,掌握设计方法。按实验内容中要求设计“1100”序列检测器的逻辑电路,并选取合适的触发器,同时也需要设计一个产生“1100”序列的被测信号的电原理图。按实验步骤2设计模7的同步计数器,画出电路原理图。实验内容及步骤设计并组构一同步序列检测器,当输入序列为“0011”时,输出一个“1”,即:输入序列X“1100110011”输出序列Y“0000010001”试设计并组构一模7的同步计数器,当X=“1”时作加法计数,X=“0”时作减法计数。实验设备和器材名称数量型号双踪示波器1台学校自备11-42.函数信号发生器1台学校自备3.直流电源1台5V4.适配器1只SD128B5.14芯IC插座3只SD1436.16芯IC插座1只SD1447.石英振荡模块1只SD126B8.集成芯片若干74LS1129.连接导线若干P210.实验用6孔插件方板297mmX300mm六.实验思考题若X序列在两个时钟脉冲之间有变化(窄脉冲),对检测器的工作有影响?试说明其原理。试论述同步计数器与异步计数器的异同点。七.实验报告要求列出设计步骤。当时脉冲为1kHz时,记录同步序列检测器输入乂序列、输出Y序列和各触发器Q端的波形。2)若选用D触发器,从表11-5状态真值表可作出D1,D2和Y的卡诺图,如图11-4所示。从卡诺图可求出激励函数D,D和输出函数Y(与JK2触发器是相同)。从上面讨论可知,采用JK|i发器需要2

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论