




版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
《SOC设计方法与实现》实验报告2实验目的完成一个数字系统的VerilogHDL描述和利用EDA工具的VerilogHDL仿真综合环境对这一描述进行仿真并综合,完整地从事一个数字VLSI系统的设计过程,理解和掌握现代集成电路的设计流程、硬件描述语言综合理论等高层次设计方法以及它和物理实现之间的关系,巩固在理论课阶段学习的相关知识。3实验平台代码输入工具:QuartusII功能仿真:ModelSimSE6.2b综合工具:DC,SynplifyPro8.6.24实验内容设计一个数字信号处理器系统,其功能为:在8位微控制器Intel8051的控制下对输入信号进行数字滤波处理并根据输入数据的大小产生一组控制液晶板的显示。系统框图如下:Intel8051是微处理器;TH99CHLS是要实现的系统。Display是一个液晶显示板。它包括三个显示区:一个时间显示区,一个数字显示区和一个由16个小方块组成的信号幅度显示区。显示面板为共阴极驱动,接高电平时对应的面板显示,接低时面板消失。其结构见下图所示。5:系统简介和对应的模块划分5.1:系统的整体工作过程:(1)在外部信号PEbar的控制下,芯片从端口in读入一个八位数据。(2)在(1)中输入的数据与微处理器给出的另一个八位数据进行按位“与”操作。(3)在(2)中处理过的数据经数字滤波后从端口out输出。(4)TH99CHLS内部产生一组时间信号,包括时和分,其格式为:(hh:mm)。这组时间信号的初值由微处理器给出,微处理器可以随时对时间信号进行修改。(5)送往液晶显示板的信号有三组:a.(4)中产生的时间信号,经七段译码后从端口hour和minute送出;b.(3)中经数字滤波的信号,在转换成十进制并做七段译码后,百位经端口c.(3)中经数字滤波的信号,在经过y=x压缩后通过端口ap送出。5.2:数字滤波器数字滤波器的转移函数为:滤波器的系数B0,B1,...,B6均由微处理器给出。微处理器可以根据需要修改这些系数。5.2:系统模块说明和代码说明:根据功能分析,将整个系统分为8个模块。各个模块对应的源文件和功能描述如下表所示。模块名称对应源文件功能说明将四位二进制译码为LED显示。示装置,为组合逻辑。H2DH2D.v进行16进制到十进制的转换。输入为8bit无符号数,输出为百,十,个位数字(其中每个数字4bit位宽),同样为组合逻辑decoder_4X16decoder_4X16y=sqrt(x)压缩后的数据送至显示合逻辑。主要实现y=sqrt(x)的运算。主要是通过比较和查找表方式实现。一个时钟周期。乘法器设计。通过移位加的方式实现。内部通过状态机实现。完成一次乘法需要8个时钟周期时间时钟模块,主要是根据系统时钟计算秒。通过计数器级联的方式实现。这是整个系统比较核心的部分。主要实现滤波器的设计和与微处理器的接口时序设计。接口需要三态控制,并且要注意读写信号的控制,滤波器采用并行设计,用面积换取速度。TH99CHLSTH99CHLS.v顶层元件例化。主要是将上述各个模块进行组合。整个功能框图如下:Usqtdecoder_4X16Ude4X16_wbar_npebar_ncs_n decoderUdecoder1 decoderUdecoder2 Usqtdecoder_4X16Ude4X16_wbar_npebar_ncs_n decoderUdecoder1 decoderUdecoder2 pebar_ncs_nH2DUH2d1decoderUdecoder3decoderUdecoder4decoderUdecoder5decoderUdecoder6decoderUdecoder76:代码功能测试下面是测试用的模块介绍,模拟TH99CHLS外围的单片机、控制信号和数据输入等:模块名称对应的源文件功能说明产生时钟频率和复位信号滤波器数据的输入wr_rd单片机WR,RD信号的模拟mcumcu.v主要是模拟单片机对TH99CHLS芯片的控制,包括寄存器的读入与读出等一系列操作顶层测试文件,主要是进行元件例化ModelSimSE功能仿真结果波形图如下:第一张图为前0-7us,第二张图为7us-15us.详细图形见source中的1.bmp和2.bmp.也可以查看其下的vsim.wlf(需要安装modelsim)。此图为对TH99CHLS芯片内部b0-b6(滤波器系数)寄存器的操作和对mini,hour寄存器初始化的操作仿真结果。7:综合结果及分析Synplify综合结果如下:DC综合结果如下:8:所占用的资源和数据通道分析该系统所占用的资源如下:在滤波器设计中,并没有采用乘法器的复用,而是采用了多个乘法器的复制,牺牲面积来换取速读的提高。综合后的频率能够达到50MHz。滤波器的数据通道如下用多个乘法器)9:VerilogHDL代码TH99CHLSUTH99(wbar_n,hour,minimcuUmcu(endmodulemoduledecoder(hex,endmodulemoduleTH99CHLS(wbar_n,hour,miniendmodulemodulewr_rd(wbar_n,parameterMCU_CLK=40;//25MHzparameterLL_DELAY=15;#MCU_CLK;#LL_DELAY;#LL_DELAY;#MCU_CLK;#MCU_CLK;#MCU_CLK;#MCU_CLK;#LL_DELAY;abus_low=read_addr;#LL_DELAY;#MCU_CLK;#MCU_CLK;#MCU_CLK;#MCU_CLK;endmodulemoduledecoder_4X16(endmodulewbar_n,hour,/*****************************//******************************************/abus_low<=dbus_in;end*/abus_low<=dbus_xio;/***************************************//*******************************************/4'b0111:dbus<=mcu_num;/********************************///inputthenum/********************************/wire[15:0]add_mul3456=add_mul34+addfir_out<=add_mul012+add_mul3456;endmoduleendmodulemoduleH2D(hex,hud,numhud=4'b0010;hud=4'b0000;num=hex_inner;endmodulemodulemcu(wbar_n,parameterMCU_CLK=40;//25MHzparameterLL_DELAY=15;parametermcu_num=8'hff;parametermcu_num_addr=8'h7;wr_rdUwrd(dbus_xio,wbar_n,#MCU_CLK;Uwrd.read_register(mcu_num_addr,if(mcu_num==mcu_numr)$display("mcu_numwr_rdisright,mcu_num=%h",mcu_num);endmodulemul_out_en,mul_out,mul_ina,mul_inb,parameterINPUT_WIDTH=8;parameterOUTPUT_WIDTH=16;parameterIDLE=4'b0000;parameterSTAGE_ONE=4'b0001;parameterSTAGE_TWO=4'b0010;parameterSTAGE_THREE=4'b0011;parameterSTAGE_FOUR=4'b0100;parameterSTAGE_FIVE=4'b0101;parameterSTAGE_SIX=4'b0110;parameterSTAGE_SEVEN=4'b0111;parameterSTAGE_EIGHT=4'b1000;outputreg[OUTPUT_WIDTH-1:0]mul_out;input[INPUT_WIDTH-1:0]mul_ina,mul_inb;reg[INPUT_WIDTH-1:0]inb;reg[OUTPUT_WIDTH-1:0]shifter;reg[OUTPUT_WIDTH-1:0]add_reg;nextstate=STAGE_ONE;STAGE_ONE:nextstate=STAGE_TWO;STAGE_TWO:nextstate=STAGE_THREE;STAGE_THREE:nextstate=STAGE_FOUR;STAGE_FOUR:nextstate=STAGE_FIVE;STAGE_FIVE:nextstate=STAGE_SIX;STAGE_SIX:nextstate=STAGE_SEVEN;STAGE_SEVEN:nextstate=STAGE_EIGHT;STAGE_EIGHT:mul_out<=add_reg;mul_out_en<=1'b1;STAGE_ONE:beginSTAGE_TWO:beginSTAGE_THREE:beginSTAGE_FOUR:beginSTAGE_FIVE:beginSTAGE_SIX:beginSTAGE_SEVEN:beginSTAGE_EIGHT:beginendmoduleparameterCLK_PERIOD=20;parameterMULTI_RATIO=2;parameterRESET_TIME=CLK_PERIOD*MULTI_RATIO+1;#RESET_TIMErst_n=1'b1;endmoduleendmoduleendmoduleendmoduleendmoduleendmodulefreelancedwithCNNforfouryears,coveringsevereweatherfromtornadoestotyphoons.(CNN)--IwillalwayswonderwhatitwasliketohuddlearoundashortwaveradioandSputnik.IalsomissedwatchingNeilArmstrongstepfootonthemoonandthefirstspaceAsakid,Iwasfascinatedwithwhatgoesoninthesky,andwhenNASApulledtheplugontheshuttleprogramIwasheartbroken.Yettheprivatizedspaceracehasrenewedmyanxiousforthenextone:aspacecapsulehangingfromacraneintheNewMexicodesert.YouandIwillhavethechancetowatchamantakealeapWatchmanjumpfrom96,000feetTuesday,IsatatworkgluedtothelivestreamoftheRIfeelthismissionwascreatedformebecauseIamalsoajournalistandaphotographer,Theguywhoisgoingtodothis,FelixBaumgartner,musthavethatsamefeeling,ataupperendofouratmosphere.Assoonasthe40-acreballoon,withskinnothickerthanadrycleaningbag,scrapedthegroundIknewitwasover.Howclaustrophobiaalmostgroundedsupersonicskydiverrecordholderand"capcom"(capsulecommunications),Col.JoeKittinger.HehunghisheadlowinmissioncontrolashetoldBaumgartnerthedisappointingnews:Missionaborted.ThesupersonicdescentcouldhappenasearlyasSunday.Then,Iwouldassume,hewillslowlystepoutonto
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 白酒年份酒收藏与投资交易合同
- 智能车棚建设与城市交通流量管理合同
- 主题餐厅装修设计、施工与监理合同
- 百货商店租赁合同附带节假日临时租赁协议
- 流感病毒的护理
- 2025年汽车维修协议书
- 武大电气工程基础课件
- 2025年农村房屋赠与协议
- 胃癌放疗化疗护理
- 古诗词鉴赏-品味炼字-2024小升初语文专项讲义
- 软件专业毕业设计
- 安徽合肥包河区演艺集团有限责任公司招聘笔试题库2025
- 湖北省武汉市江夏区2025届九年级下学期5月月考语文试卷(含答案)
- 雨棚翻新合同协议书范文
- 2025年湖南省永州市宁远县中考三模历史试题(含答案)
- 2024年江苏省无锡市中考历史真题(解析版)
- 消防安全知识培训试题及答案
- 阅读策略在小学英语教学中的应用
- 2025年黄山旅游发展股份有限公司春季招聘75人笔试参考题库附带答案详解
- 2025年中考时事政治题及答案
- 物流运输及配送服务方案投标文件(技术方案)
评论
0/150
提交评论