2024年-ispLever使用教程演示幻灯片_第1页
2024年-ispLever使用教程演示幻灯片_第2页
2024年-ispLever使用教程演示幻灯片_第3页
2024年-ispLever使用教程演示幻灯片_第4页
2024年-ispLever使用教程演示幻灯片_第5页
已阅读5页,还剩53页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、VHDL的文本方式设计ISPLEVER软件的使用方法1点击程序->LatticeSemiconductor—>ispLEVERProjectNavigator2点击File—>NewProject3输入项目名,选VHDL,点下一步4选器件5点击下一步6点击完成78点击Source—New9选VHDLModule10填入文件名、实体名(两者要一致)和结构体名11点OK1213输入程序14保存15退出1617点击dff1(dff1.vhd)18双击SynplifySynthesizeVHDLFile源程序编写完成以后是综合192021双击VHDLTestBenchTemplate2223双击GenerateSchematicSymbol2425点击器件ispLSI1032E-70LJ8426双击FitDesign27282930下载3132333435362、VHDL的原理图方式设计(八)ISPLEVER软件的使用方法37点击File—>NewProject3839选器件40点击下一步41点击完成4243点击Source—New44454647484950后面的操作同前51作业:用VHDL语言:1、设计一个3线-8线译码器;2、设计一个上升沿触发JK触发器。CLRJQK52libraryieee;useieee.std_logic_1164.all;entitydff1isport(clk,d:instd_logic;q:outstd_logic);end;architecturertlofdff1isbeginprocess(clk)beginif(clk'eventandclk='1')thenq<=d;endif;endprocess;endrtl;53libraryieee;useieee.std_logic_1164.all;entityand_gateisPORT(A,B:INstd_logic;X:OUTstd_logic);end;architecturertlofand_gateisbeginX<=AANDB;endrtl;54libraryieee;useieee.std_logic_1164.all;entitydecoder_38isPORT(input:INstd_logic_vector(2downto0);output:OUTstd_logic_vector(7downto0));end;architecturertl1ofand_gateisbeginX<=AANDB;endrtl1;55libraryieee;useieee.std_logic_1164.all;entitydecoderisPORT(input:INstd_logic_vector(2downto0);output:OUTstd_logic_vector(7downto0));end;architecturertl1ofdecoderisbeginprocess(input)caseinputiswhen0=>output<="11111110";when1=>output<="11111101";when2=>output<="11111011";when3=>output<="11110111";when4=>output<="11101111";when5=>output<="11011111";when6=>output<="10111111";when7=>output<="01111111";endcase;endprocess;endrtl1;56libraryieee;useieee.std_logic_1164.all;entitydecoderisPORT(input:INstd_logic_vector(2downto0);yout:OUTstd_logic_vector(7downto0));end;architecturertl1ofdecoderisbeginprocess(input)caseinputiswhen"000"=>yout<="11111110";when"001"=>yout<="11111101";when"010"=>yout<="11111011";when"011"=>yout<="11110111";when"100"=>yout<="11101111";when"101"=>yout<="11011111";when"110"=>yout<="10111111";when"111"=>yout<="01111111";endcase;endprocess;endrtl1;57libraryieee;useieee.std_logic_1164.all;entityym3_8isport(a:instd_logic_vector(2downto0);y:outstd_logic_vector(7downto0));endym3_8;architecturertlofym3_8isbeginprocess(a)caseaiswhen"000"=>y<="11111110";when"001"=>y<="11111101";when"010"=>y<="11111011";when"011"=>y<="11110111";when"100"=>y<="111011

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论