DSP图像采集处理专业系统设计实例_第1页
DSP图像采集处理专业系统设计实例_第2页
DSP图像采集处理专业系统设计实例_第3页
DSP图像采集处理专业系统设计实例_第4页
DSP图像采集处理专业系统设计实例_第5页
已阅读5页,还剩30页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

DSP图像采集处理系统设计实例本章将介绍基于TIC6000系列DSP芯片图像采集处理系统实例。第一节介绍图像处理系统应用。第二节介绍图像采集系统基础结构,着重分析怎样平衡需求和成本设计方法。第三节介绍系统硬件设计,分析DSP和图像采集芯片接口、电气知识等,给出了设计方案。最终介绍系统软件设计,关键介绍本系统软件设计方案,同时也关键介绍TI图像库。1

图像采集处理系统应用数字图像处理技术是计算机图形深入应用和高层应用一个极其广泛领域,它把来自摄影机、摄像机或传真扫描装置、医用CT机、x光机等图像,经过数学变换后得到数字图像信息,再由计算机进行编码、滤波、增强、复原、压缩、存放等处理,最终产生可视图像,这种技术称为图像处理(ImageProcessing)。图像处理技术在通信科学、生产和管理、多媒体技术、高清楚度电视、医用图像处理、商品电子化、目标跟踪等领域得到了广泛应用。在通信事业上,传统图像信息传输是以模拟图像信号形式出现。为了提升信息传输质量和速度,最近数字图像信号处理和传输技术正在迅猛发展,并逐步替换传统模拟信号处理和传输技术。现在,“信息高速公路”成了发达国家热门课题,其中数字图像处理技术则成为它极其关键部分。而且,数字图像处理技术还和目前乃至二十一世纪部分关键电子技术及电子产品亲密相关。现在数字图像处理技术多个引人瞩目标高科技领域包含:

1.高清楚度电视(HDTV)高清楚度电视是当今国际高科技竞争制高点之一,占领这个制高点者,必将拥有巨大经济效益。现在关键有两种发展模式:一是日本、西欧等国家在现有基础上进行改良;二是美国推出全数字HDTV,1992年美国推出了4种全数字HDTV,它们关键技术是在视频图像信号处理上采取最优异信源图像压缩编码技术。然而,其价格上分昂贵,难以真正商品化。这种情况丰要原因是压缩编码方法效率不高。2.商业电子化20世纪90年代,因为美国商品零售业发展,出现了新兴零售连锁集团,它凭借现代化计算机管理信息系统所带来零库存、低成本和低售价,快速占领了市场,成为美国商品零售业首批巨人。“这种商业电子化”大市场吸引着越来越多创业者、高科技企业,以致部分世界性大企业纷纷涉足于这一领域。商业零售业作为市场流通枢纽和各行各业亲密相关,它使得商业电子化成为一项复杂系统工程,它不仅仅使商场收款机电子化,而且它还使商场网络化、货币支付电子化甚至订货电子化等。在商业电子化过程中,商品信息处理、存放和传输是十分关键步骤。3.可视电话现在,中国外已经有成型产品,然而,它仍需占用较多通信线路,而且本身造价昂贵,其关键原因是图像压缩技术压缩倍数尚不能满足要求,所以要使可视电话真正商品化,必需在图像压缩技术方面有新突破才能实现。4.多媒体技术多媒体是指文(text)、图(image)、声(audio)、像(video)和计算机程序融合在一起形成信息存放和传输媒体。它是近期发展起来新技术,我们过去熟悉声、图、像等媒体几乎是以模拟信号进行存放和传输,而多媒体却是以数字信号形式进行存放和传输。现在多媒体开发和应用趋势,大致可分为三类:一是含有编辑和播放和双重功效开发系统,这种系统适合于专业人员制作多媒体软件产品;二是关键以含有交互播放功效为主教育/培训系统;三是关键用于家庭娱乐和学习家用多媒体系统。可见,多媒体潜力和应用前景是很宽广。在多媒体技术中,数字图像处理技术起着关键性作用。5.医用图像处理技术以“图像重选”技术为中心医用图像处理技术日趋发展。现在,以医用超声成像、x光造影像、X光断影成像、CT扫描、核磁共振断层成像技术等为基础医用图像处理技术,将为医学界实现“将人体变为透明体”设想成为现实,其中,数字图像压缩处理技术是关键部分。图像采集处理系统关键包含图像采集和图像处理两大部分。通常图像处理全部是采取通用或专用DSP芯片,TI和ADI企业是提供通用DSP芯片两个关键企业,每一个企业全部推出了浮点和定点通用DSP芯片,不仅如此,还针对不一样应用场所,推出了众多系列DSP芯片。比如TI企业推出了适合音频和视频处理C5000和C6000系列DSP芯片,另外,还依据多种终端设备特点,推出了TMS320DSC21、TMS320DSC25、TMS320DM310和TMS320DM64等DSP芯片。TI处理方案能够帮助很多消费类商品,比如摄录/像机、电子书、MPEG-4播放机/录制机、相片打印机、便携式网上视频家电、影片光盘柜、联网机和无线相机。以TIDSP芯片为基础数码相机系统方框图图8-1所表示。

2图像采集系统基础结构图像采集系统应用在很多场所,尤其在生物识别领域应用得十分广泛,木节关键就是以TlDSP芯片构建生物识别系统为例,具体介绍该类图像采集系统基础结构和特点。2.1

系统基础结构和工作步骤一个基础生物识别系统图8-2所表示,它必需包含多个基础部件:

1.信号采集部分它关键是将生物特征信号转化成数字信号传给系统。它可能是图像信号,如虹膜图像、掌纹图像、指纹图像,也可能是采样信号,如采样人语音。但在大多数生物识别系统中信号采集部分转化出来全部是图像信号。本章介绍也是基于图像信号采集识别系统。2.处理部分处理部分通常是一个高性能CPU。它是整个生物识别系统关键。它/不仅仪要完成对数据运算、处理和存放,还要实现对整个系统控制,尤其是I/O部分控制,以达成系统整体要求。3.RAM部分生物识别系统中通常全部有RAM部分,关键基于两个出发点:首先,生物识别系统中速度是一个关键指标。程序在RAM里面运行比在存放器里运行速度要快得多。其次,生物识别系统中采集图像往往比较大,而且算法所要求RAM空间也比较大。而CPU内部RAM往往不能达成这个要求。4.存放部分存放部分关键存放两个部分内容:一是系统程序;二是生物特征模板。存放空间大小也关键取决于这两个部分要求。尤其是生物特征模板大小,假如系统要求存放人员越多,存放空间要求也就越大。5.I/O输入输出接口部分I/O部分关键是完成系统功效要求。在不一样应用领域对I/O要求也不一样。在考勤领域,就要求I/O含有液晶显示功效。6.通信接口部分在网络应用领域,就要求生物识别系统含有网络通信功效;在门禁应用领域,就要求系统含有串行通信(RS485、RS232)功效。7.电源部分脱机系统因为它应用场所限制,大多对电源有严格限制,关键是要求节电。而生物识别系统基础上全部是使用高性能CPU,而它们对电源系统也有严格要求,关键是要求电源稳定、干扰小。生物识别系统工作步骤基础上能够分成两个部分:(1)生物特征采集和存放。用户经过I/O通知系统开始生物特征采集和存放,处理器则经过采集器采集生物特征信号,再经过算法处理看是否能够转换成特征模板存放在存放空间内。在很多情况下,为了确保特征模板质量,处理器会采集好几次生物特征信号来生成特征模板。工作完成后,处理器会经过I/O通知用户。(2)身份识别。但用户需要身份识别时候,则经过I/O通知处理器。处理器首先经过采集器采集用户特征信号,然后用识别算法转化成特征值,再和存放器里面特征模板比对。假如相同度大于一定值,则认为是身份识别正确,不然,身份识别错误。2.2系统技术指标通常图像采集处理系统有以下多个关键技术指标:

1.图像采集时间通常图像采集有A/D转换和数据传输两个部分,图像采集时间包含A/D转换时间和数据传输时间。为了提升图像采集时间,采取高速A/D转换芯片和高速串行接口。TI和ADI企业全部提供了高速AD转换芯片,这类芯片大多提供并口和SPI之类数据传输接口。2.图像处理时间图像处理时间是指系统从采集完一个完整图像到运算处理完图像所经过一段时间。在流媒体图像采集处理系统中对每帧图像处理速度直接影响到系统性能,所以在这类产品中通常采取高性能微处理器。在图像采集系统中,表现是多样,以生物识别系统为例,对一幅完整图像处理时间(通常是图像特征值处理和比对时间之和)有十分严格要求。

3.存放容量有些图像采集处理系统需要存放一定图像数据,如数码相机和数码摄像机等。在图像采集系统中,表现是多样,以生物识别系统为例,能够存放大量生物体样本特征值是个关键指标。4.RAM空间

图像采集处理器特点是数据量大,占用数据空间达成几兆。在全部图像采集处理系统中,大容量数据RAM空间是一个关键指标,直接影响到图像处理时间和处理效果。

5.系统功耗

系统功耗可分为两个部分:一是工作电流,是指系统在采集、处理信号时候所消耗电流。另一个是静态电流,是指系统在没有工作状态下电流。因为在大多数应用场所,系统大部分时间全部处于没有工作状态,静态电流更含有实际意义。6.成本毋庸质疑,成本对于任何一会系统全部是一个关键技术指标。3硬件电路设计本节是关键介绍图像采集处理系统硬件设计。在图像采集处理系统中,怎样完整、真实地采集到现实对象图像数据是很关键。图像采集性能好坏直接影响到后续图像处理和图像识别等功效模块。所以,设计一个快速、实时图像采集硬件系统是很关键。下面以某企业B芯片为例,介绍图像采集系统硬件设计方案和注意事项。3.1

图像采集时序分析使用B芯片时需要注意两个方面问题,一是包含芯片初始化部分,设定芯片工作方法和运行参数;二是包含芯片数据传输部分,系统要求CPU能够实时得到B芯片采集到图像数据。B芯片时序关键包含初始化部分总线时序和数据传输时序。

1.初始化时序分析B芯片初始化部分总线时序图8-3所表示。能够看出其写时序基础上和SRAM写时序相同,在/WE下降沿时,DBUS总线上数据准备好,在/WE上升沿锁存DBUS总线数据。

2.数据发送时序在数据传输接口中,B芯片提供两种接口方法:SPI方法和并行方法。下面将具体分析两种方法优缺点,最终将造成硬件电路系统设计。

(1)SPI接口方法。SPI是SeriesProtocolInterface缩写,这是一个利用四根信号线串行接口协议,包含主/从两种模式。4个接口信号是:·MISO=串行数据输入(主设备输入,从设备输出)。·MOSI=串行数据输卅(主设备输出,从设备输入)。·SCK=移位时钟。·SS=从设备使能。SPI接口最大特点是由主设备时钟信号出现是否来界定主/从设备间通信。一检测到主设备时钟信号,数据开始传输,时钟信号无效后,传输结束。在这期间,要求从设备必需被使能(SS信号保持有效)。SPI方法优点是只占用4根数据线、数据传输速度快等优点。C6000系列McBSP作为SPI接口使用时时序图8-4所表示。

B芯片SPI接口为MASTER模式,提供4种CLOCK,最大能够达成6MHz。B芯片SPI时序图8-5所表示。仔细分析其时序图能够发觉:FSR是字节同时帧信号,向不是从设备使能信号。标准SPI时序是从设备使能信号SS下降沿数据开始从MISO引脚输出,上升沿出现在前一个数据全部发送完以后,模块在FSR高电平时,把数据锁存到发送数据寄存器里,FSR下降沿时,模块开始串行发送数据。假如用标准SPI接口读取B芯片生物样本数据,会出现片选信号犯错情况,造成SPI模块出现异常,最终无法读取到样本数据。所以在硬件电路设计中,放弃了采取SPI接口读取B芯片生物样本数据。

多通道缓冲串口(McBSP)优点是能够设置时钟信号、帧同时信号和数据单元大小,能够实现和多个方法接口时序无缝连接。把B芯片串行时序看成一般3线式通信,FSR是字节同时帧信号,DSPC6000McBSP设置以下:

·(R/X)PHASE=0,单相帧。

·(R/X)FRLENl=O,每帧一个数据单元。

·(R/X)WDLENl=000b,数据单元字长8位。

·(R/X)FRLEN2和(R/X)WDLEN2字段无效,能够为任意值。

·CLK(R/X)P=0,时钟下降沿接收数据,上升沿处发送数据。

·FS(R/X)P=0,帧同时信号高有效。

·

(R/X)DATDLY=01b,1位数据延迟。

·以帧信号最高频率运行。

此种方法下,McBSP收发数据时序图8-6所表示。从图8-6能够看出,采取此方法能够和B芯片3线式串行通信匹配。因为DSPMcBSP是采取从方法,考虑到时序比较快,提议采取DMA方法读取DRR中数据。

(2)并行方法。另外,B芯片提供了并行数据传输方法。并行方法缺点是占用8根数据线,不利于系统硬件稳定性:优点是数据传输速度快,便于软体实现。并行数据传输方法时序图8-7所表示。DSC字节同时时钟信号,DR数据总线(8位)。从图中能够看出,在DSC上升沿时B芯片数据总线准备好数据,在DSC下降沿时CPU能够读取数据。并行数据传输时序很简单,软件易于实现。综合考虑了图像采集系统实时性要求和B芯片时序特点,系统设计时采取了并行读取方法。

3.2系统硬件接口设计图像采集处理系统硬件设计关键包含电源和复位电路、总线接口电路设计、扩展I/O口和通信接口等部分。下面具体介绍这多个部分硬件电路设计,同时分析B芯片电气特征和设计B芯片接LJ电路。

1.电源电路和复位电路图像采集系统电源系统设计比较复杂,电源供给方法通常有两种方法:220V电源供电和电池供电。在这里关键是介绍以电池作为电源供给方法电源管理方案,现在稳压电源方法关键有线性稳压电源、DC-DC开关电源和电容式充电泵开关电源,它们特点如表8-1所表示。图像采集处理系统尤其是手持便携设备对低功耗有很严格要求,所以在系统中采取高效稳定电源管理方案很关键。该图像处理系统中,CPU芯片是双电源芯片,内核电源是1.8V,I/O电源是1.8V。另外,B芯片需要3.3V和12V电源。系统中3.3V电源电流大约为300mA,CPU内核电流约800mA。12V电源电流约是20mA。总共能耗约是1.5W。整个系统电源管理方案如表8-2所表示。

3.3V和1.8V电源DC-DC转换芯片是采取TI企业TPS54310芯片。TPS54310芯片含有以下多个优点:·同时降压型PWM稳压芯片。·低输出电压、高输出电流。·开关频率可调,固定350kHz、500kHz,可调范围为280~700kHz。·提供0.9V~3.3V输出电压、3A输出电流,精度为1%。·3A电流输出时,MOSFET开关管导通电阻为60mΩ。·内置峰值电流保护和热保护。·快速对应速度,转换效率达成95%。·外部配置元器件少,易地设计。3.3V电源电路设计图图8-8所表示,正常输入电压是5V,输出电压是3.3V,输出电流最大3A。

1.8V电源电路设计图图8-9所表示,正常输入电压是5V,输出电压是1.8V,输出电

流最大3A。

12V电源电流只有20mA,采取DC-DC电源转换方法把3.3V电源提升到12V。因为耗电流小,采取通常电源转换芯片就足够了。木系统中采取LM2703,具体电路图设计图8-lO所表示。在嵌入式系统中,复位电路设计也是至关关键。直接利用TPS54310芯片PWRGD输出引脚,经过一定逻辑转换得到CPU复位信号。PWRGD引脚特征是:当VSENSE引脚达成输出电压90%时,PWRGD输出高,不然输出为低。PWRGD引脚是OC门输出,需要接上10kΩ电阻。

2.总线接口设计在嵌入式系统设计中,CPU大多数全部含有和SRAM/FLASH和SDRAM无缝连接功效。相对来说,总线接口设计比较简单。TMS320C6201也是一款提供多个协议接口无缝连接CPU。TMS320C6201芯片集成了外部总线接口(EMIF)外设,EMIF控制对外部存放设备(如ROM/FLASH、SDRAM、SBSRAM)和外部I/O设备访问。EMIF有4个BANKS,每一个BANK全部能够设置对ROM、SDRAM和SBSRAM等类型外设存放设备访问。依据TMS320C6201BOOTLOAD特点,通常CEl空间设置为对RAM、ROM、FLASH等外设,CE2、CE3空间设置为对SDRAM外设。TMS320C6201内部运行时钟是200MHz,对外部空间访问同时时钟是100MHz。因为大多数外设全部是属于低速设备,比如FLASH、SRAM等,所以设计硬件时需要考虑高速CPU和低速外设之间时序配合问题。在TMS320C6201EMIF相关寄存器里能够设置CPU内部对外部访问延时时间,另外,通常低速外发有RY/BY引脚,该引脚能够和CPUARDY引脚直接相连,其硬件接线框图图8-11所表示;不利用外部硬件延时硬件接线框图图8-12所表示。TMS320C6201EMIF和32位SDRAM硬件接线框图图8-13所表示。

本系统中外扩展了256K×16位FLASH29LY400,用地存放程序代码和采集到图像数据。另外还扩展了2M×32位SDRAM,关键是用于程序实际运行时占用程序和数据空间。系统上电运行过程是经过ROMBOOTLOADER方法把程序代码load到SDRAM空间去,主程序和图像采集处理程序全部在SDRAM空间运行。3.扩展I/O设计针对于本系统中FLASH和外部扩展B芯片全部是映射到CEl空间,而且系统需要含有对外部I/O信号处理,如按键、拨码旋钮、蜂鸣器和指示灯信号等,所以在系统中增加了一片CPLDEPM7256。TMS320C6201芯片没有能够直接使用GPIO口,所以只能经过CPLD来扩展,图8-14所表示。

如前所述,系统采取并行方法访问图像采集B芯片,以TMS320C6201对外部RAM读访问时序为例,介绍TMS320C6201和图像采集B芯片硬件设计。TMS320C6201对外部SRAM读访问时序图8-15所表示。

如前所述,B芯片在脉冲DSC信号触发下,图像数据从数据线DR[7..0]输出。采取GPIO引脚模拟DSC时钟,经过对外部SRAM读访问方法读取图像数据。在系统中,把B芯片映射到CEl空间,对CEl空间进行读操作就能够读取图像数据了。TMS320C620l和B芯片接口框图图8-16所表示。用CE1作为74LVC245A片选信号,对CEl空间进行读写访问就能够实现对B芯片访问了。

此电路设计也存在不安全因数,进行TMS320C6201外部SRAM访问时序分析就能够知道。仔细分析片选信号CEl和AOE信号,能够看出,片选信号CEl比写AOE要宽。也就是说,对外部CEl空间读取数据时,74LVC245A片选有效,DIR信号从高跳到低,致使数据线上有一个从输出到输入转变。在实际调试中,发觉对数据线上信号有比较大影响,图8-17所表示。在测试中,发觉此BUG没有影响系统运行,所以采取以上总线扩展I/O方法。4.存放器映射整个图像采集处理系统存放空间分4个BANK。第1个BANK是CEO,外扩SBSRAM存放器;第2个BANK是CEl,FLASH存放器、B芯片和GPIO等外设分享此空间;第3个BANK是CE2,只是外扩SDRAM存放器。存放器映射为memorymap1。具体地址如表8-3所表示。

4软件设计本节关键介绍该图像采集系统软件设计部分,着重介绍对FLASH读写操作程序、图像采集程序和TIImageLibrary库利用。4.1

FLASH访问读写程序该部分关键是介绍TMS320C6201对AM29LV040FLASH芯片进行读写访问程序设计。在该系统中,AM29LN040FLASH芯片担当一个关键任务,存放着全部程序代码和初始化数据段。不仅如此,还需要存放系统参数、图像数据和部分系统关键信息。该系统中AM291N040存放容量是4M位,8个512kBsector。AM29LV040映射到系统CEl空间,配置为16位ROM,硬件设计时没有利用AM29LV040RY/BY引脚,所以在软件中需要轮询校验program和erase操作是否正确。在系统中选择AM29IN040是一款访问时间达成70nsFLASH,CPU运行频率是200MHz,对应EMIFCEl空间控制寄存器(CECTLO)设置如表8-4所表示。

1.程序步骤图硬件复位后,FLASH设备自动初始为读模式,不需要任何特殊操作就能够读取数据。当然首先必需正确初始化EMIF相关寄存器。相对来说,FLASH写操作和擦除操作要复杂些。AM29IN040编程和擦除命令步骤图图8-18和图8-19所表示。编程和擦除操作首先需要写入正确命令字,然后轮询设备状态判定操作是否正确。在每一个操作中需要用软件检验编程和擦除操作是否正确,轮询算法图8-20所表示。

4.2图像采集程序同CCD器件一样,CPU也要对本系统中图像采集芯片B芯片进行初始化才能读取图像数据。芯片上电后,B芯片需要初始化,初始化关键包含ADC模块参考低电平和数据传输方法等部分。数据传输方法有3线串行(SPI)和并行(并行)两种方法。本系统中采取了并行读取图像数据方法。并行读取数据同时时钟周期要大于512ns,在时钟DSC低电平B芯片准备好数据,时钟DSC高电平时CPU能够读取图像数据。B芯片初始化比较简单,包含东西极少,在这里就不介绍了。在这里将要具体介绍怎样读取图像数据。

B芯片映射到系统CEl空间,因为有DSC同时时钟,所以将SRAM访问时间设置

为最大值。1.程序步骤图B芯片读图像数据步骤图图8-21所表示。

2.源程序该部分关键介绍怎样从B芯片读取图像数据,读取图像数据子程序,同时给出了EMIFCEl空间CECTL1控制寄存器配置。

4.3ImageLibrapy使用通常开发一款图像采集和处理产品步骤是熟悉硬件平台特征、依据CPU特点优化算法,最终调试整个系统软件。因为大多数厂家CPU支持汇编语言不相同,尤其DSP芯片汇编语言,如TI企业有自己甚长汇编指令集,而AD企业也有自己汇编指令集。通常只有依据各个厂家CPU内核特点和汇编指令特点,才能够愈加好地优化图像算法,而且往往这方面影响着产品开发进度,影响着产品进入市场时间。TI企业为了处理这个问题,向用户提供了图像处理算法库,该库关键包含图像压缩和解压缩、图像分析和图像滤波等3个部分。用户能够利用这3个库快速地开发出图像采集处理算法。

1.概述TI企业提供(262xMIGLIB库文件是包含很多图像和视频处理函数,全部函数全部是对C语言编程进行了优化。该库包含部分能够使用C语言调用,且已经经过汇编优化图像和视频处理子程序。在对图像处理时间十分敏感实时系统中能够使用这些已经经过汁算优化函数。用户借助这些子程序就能够轻松地使用ANSIC语言编写出高效算法程序。借用这些子程序,能够缩短产品进入市场时间。TIC62xIMCLIB库文件包含通用图像和视频处理子程序。另外,用户能够依据产品特点,修改库源程序满足自己要求。这些源程序能够在CodeComposerStudio软件安装目录下找到。IMGLIB库特点以下:·优化汇编代码子程序。·和TIC62x编译器完全兼容C调用子程序。·基准,包含时钟周期和代码大小。·参考C模型测试。即使在软件中提供代码全部是针对C62xDSP设备,不过也能够在TIC6000DSP系列其它产品中使用。在配套光盘里有image.lim例子mpeg2_vld,有爱好读者能够看看。2.图像压缩解压缩子程序

该部分关键描述是标准图像压缩/解压缩算法子程序,如JPEG、MPEGVideo和H.26x

等算法。IMG_fdct_8x8IMG_idct_8x8前向和反转离散余弦变换(DCT)函数:IMG_fdct_8x8和IMG_idct_8x8。在大多数标准压缩算法中全部使用离散余弦变换函数,如JPEG编码/解码、MPEG视频编码/角罕码和H.26X编码/解码。这些标准压缩算法使用目标是不相同,比如:JPEG算法关键使用在打印、图像处理和安全系统中等;MPEG视频标准关键在数字电视(DTV)、DVD播放器、机顶盒(Set-Topboxes)、便携视频设备、视频光盘和多媒体应用系统中使用;H.26X标准在视频电话和一些流媒体应用中使用。注意逆向DCT函数实施是IEEE1180~1990逆向DCT算法。IMG_mad_8x8IMG_mad_16x16IMG_sad_8x8IMG—sad—16x16利用这些函数能够提升运动图像识别算法性能,在MPEG视频编码和H.26X编码中广泛使用运动图像识别算法。在便携视频系统、流媒体系统和视频电话采取这些视频编码。在视频编码系统中,运动图像识别算法是得到最大计算加强优化。采取TI提供函数能够使系统中算法性能得到显著改善。IMG_mpeg2_vld_interIMG_mpeg2_vld_intraMPEG-2可变长度解码函数提供了一个高集成度和高效率处理方案,该方案优化了MPEG-2代码intra和non-intra宏块可变长度解码、run-lengthexpansion、反转扫描、dequantization、saturation和mismatch控制。任何MPEG-2视频解码系统性能依靠于每个解码步骤高效实现。IMG_quantize量子化是很多图像视频压缩系统中积分步骤,包含DCT压缩算法基础之上多种变异算法,比如JPEG、MPEG和H.26X等算法。在这么系统中采取IMG_quantize子程序能够提升量子化步骤速度和性能。IMG_wave_horzIMG_wave_vert在JPEG和MPEG-4等算法中,小波处理得到广泛应用,并将发展成为一个标准,经典应用于提升静止图像压缩性能方面,而且在很多多种图像压缩系统全部是建立在小波处理基础之上。IMG_wave_horz和IMG_wave_vert函数用于计算水平和垂直小波变换。利用该两个函数能够计算图像数据2维小波变换。该子程序在文档约束之内使用很灵活,能够满足宽范围特殊小波变换和图像维数。3.图像处理子程序该部分关键是对应用于图像分析标准函数进行说明。IMG_boundary边界和周界函数,即IMG_boundary和IMG_perimetcr两个函数。它们通常在结构视觉应用中作为结构算子。IMG_dilate_binIMG_erode_binIMG_dilate_bin和IMG_erode_bin两个函数是图像学算子,通常见于提升二进制图像扩大和二进制图像侵蚀算法效果。扩大和侵蚀在图像处理操作中含有基础意义,比如打开和关闭全部能够从扩大和侵蚀中建立起来。这些函数在机器视觉和医学成像方面很有用。IMG_histogram直方图用来生成图像柱状图。图像直方图是一个图像亮度级统计。比如,对于一个8位像素亮度等级灰度图像,直方图将包含对应可能256个像素亮度256bins。每一个bin包含图像中像素点个数,尤其是亮度值。IMG_perimeter库中提供了边界和周界计算函数,即IMG_boundary和IMG_perimeter。通常在机器视觉应用中用于结构化操作。

IMG_sobel在机器视觉系统中通常使用边界检测技术。在很多算法中全部存在边界检测技术,

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论