串行通讯电路设计规范_第1页
串行通讯电路设计规范_第2页
串行通讯电路设计规范_第3页
串行通讯电路设计规范_第4页
串行通讯电路设计规范_第5页
已阅读5页,还剩14页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

串行通讯电路设计规范篇一:串口通信电路设计第十一章 制作 PCB 设计任务 使用 ProtelXX设计出串口通信电路。画出电路原理图和 PCB板,并生成制造文件。 创建项目文件及工作环境 打开 ProtelXX, 选择菜单中【文件】|【创建】|【项目】|【PCB 项目】 ,系统的工作区会自动产生 PCB项目文件 PCB_。右键点击 ,在右 键菜单中选择【追加新文件到项目中】|【Schematic】 ,则系统会自动产生文件和原理图设计界面。选择菜单【文件】|【保存】 ,系统会弹出【保存】对话框,如图 11-1所示【保存】对话框。将文件名称改为,点击 。 图 11-1【保存】对话框 右键点击 ,在右键菜单中选择【追加新文件到项目中】|【PCB】 , 1 没有指定样式的文字。 错误!文档中没有指定样式的文字。 错误!文档中 则系统会自动产生文件和原理图设计界面。选择菜单【文件】|【保存】 ,系统会弹出【保存】对话框,如图 11-2所示【保存】对话框。将文件名称改为,点击 。 图 11-2 【保存】对话框 原理图设计 设计思路 本例使用的是 MAXIM公司的 Max232芯片,设计串口通信电路。 元件库管理与设计 根据中介绍的方法添加元件库。将 Library文件夹中的 Miscellaneous 和 Miscellaneous 以及 Library/Maxim文件夹中的 Maxim Communication 添加进来。如图 11-3和 11-4所示【打开】对话框。 2 没有指定样式的文字。 错误!文档中没有指定样式的文字。 错误!文档中 图 11-3【打开】对话框 图 11-3【打开】对话框 3 没有指定样式的文字。 错误!文档中没有指定样式的文字。 错误!文档中 添加元件库后, 【可用元件库】对话框就变成如图 11-4所示。点击。 图 11-4 【可用元件库】 原理图布线 单击屏幕右侧按钮,弹出【元件库】对话框,在下拉菜单中选择元件库,在元件清性】 对话框,修改元件标识符和注释,点击4 ,然后将选择的元件放置在原理图 没有指定样式的文字。 错误!文档中没有指定样式的文字。 错误!文档中 表 11-1 元件参数表选择菜单【放置】|【端口】属性】 对话框设置端口名称和 I/O类型。点击 ,然后将端口放置在原理图上。串 口通信电路的原理图所需要的端口参数如表 11-2所示端口参数表。 表 11-2 端口参数表 选择菜单【放置】|【电源端口】开【电源端口】 对话框设置电源端口的网络和风格。点击 ,然后将电源端口放置在原理图上。串口通信电路的原理图所需要的电源端口参数如表 11-3所示电源端口参数表。 表 11-3 电源端口参数表 将串口通信电路所需要的所有元件全部放置在原理图中,如图 11-5所示串口通信电路所需要的所有元件。然后选择菜单中【放置】|【导线】 ,将所有的元件连接起来,如图 11-6所示连接好所有的元件。 5 篇二:串行通信电路的设计 串行通信电路的设计 1 串行通信电路 设计目的 (1)掌握串行通信电路的构成、原理与设计方法; (2)熟悉 QuartusII的仿真方法。 基本要求 (1)发方实现 8bit码字的并串转换,使用单一电缆发送信号,收方实现串并转换后 输出; (2)并行输出端的 8bit寄存器; (3)收方数据是否已准备好指示输出端。 发挥部分 (1)加密通信; (2)串行发/收端口 FIFO缓存; (3)发/收方奇偶校验位生成/检测; (4)其他。 2 设计过程及论文的基本要求 设计过程的基本要求: (1)基本部分必须完成,发挥部分可以在已给的范围或自己寻找资料的范围内任选; (2)符合要求的设计报告一份,其中包括逻辑电路图、实际接线图各一份; (3)设计题目必须仿真通过,设计过程的资料草稿上交; (4)成绩的组成:考勤、每天任务的完成工作量、答辩情况、报告; 课程设计论文的基本要求: (1)蓝黑色或黑色钢笔或碳素笔书写,不允许用圆珠笔。项目齐全、字迹工整,有条件的可以打印。 (2)装订顺序:封面、任务书、成绩评定表、中文摘要、关键词、目录、正文(正文的具体要求按老师讲课要求) 、总结及致谢、参考文献、附录(逻辑电路图与实际接线图) 。 中文摘要 串行通信电路是为了实现数据传输的方便而设计的一种电路,将八位数据通过八位加法器使要传送的数据同时加上一个数,而这个数是通过两片计数器组成的时钟电路实现的,并且此时钟电路不断的对输入数据进行加法运算,实现数据的加密计算。并行变串行是通过数据选择器使八位的加密数据通过 74151实现数据的串行传送,从而实现电路的功能。串行数据变并行数据,将串行数据送到移位寄存器中,控制移位寄存器的时钟脉冲,使数据能够从移位寄存器的八个输出端口输出,但一定要控制好 当移位寄存器有时钟脉冲作用时,所选出的数据恰好是加密后的八位并行数据,为后面的解码部分做准备。解密电路是利用时钟电路和加法器。不过,是将加法器的 CIN端置高电平,实现的是减法运算,还有就是时钟电路输出的要是加密时钟输出数据的反码,这样才能实现数据的解密。另外,数据解密是解密时钟电路的 CP脉冲一定要和加密时钟脉冲的 CP脉冲一致,才能确保电路的正确性。数据输出电路的设计是将解密电路解密后的数据,送到寄存器中。控制寄存器的脉冲实现数据的输出。数字电子技术的迅速发展,为人们的文化、物质生活提供了优越的条件,空调、电子计算机等,都是典型的技术应用实例。计算机的普及,为大学生提供很好的学习平台。经过对数字电子技术基础一学期的学习,掌握了一些理论上的知识。而课程设计正好帮我们好好的整理和实践了这些知识。 目录 课程设计任务书 错误!未定义书签。 数字电子技术 课程设计成绩评定表 错误!未定义书签。 中文摘要 .I 1 设计任务描述 .1 设计题目:串行通信电路 . 1设计要求: .1 设计目的: . 1 基本要求: . 1 发挥部分: . 1 2 设计思路 .2 3 设计方框图 .3 4 各部分电路设计及调试 . 4 并行数据加密 .4 加密数据并行变串行模块 .4 串行变并行电路设计 .5 解密电路 .6 数据输出电路 .7 5 串行通信电路过程分析 . 8 串行通信电路的总电路图 . 8 电路图的工作过程分析 . 8 并行数据加密工作过程分析 .8 数据变换为串行数据电路工作过程分析 .9 串行变并行电路的工作过程分析 .9 解密电路电路的工作过程分析 .10 输出电路的工作过程分析 . 10 6 元器件清单 . 12 7 主要元器件介绍 . 13 小结 . 16 致谢 . 17 参考文献 . 18 附录 A 串行通信总电路 . 19 1 设计任务描述 设计题目:串行通信电路 设计要求: 设计目的: (1)掌握串行通信电路的构成、原理与设计方法; (2)熟悉 QuartusII的仿真方法。 基本要求: (1)发方实现 8bit码字的并串转换,使用单一电缆发送信号,收方实现串并转换后 输出 (2)并行输出端的 8bit寄存器; (3)收方数据是否已准备好指示输出端。 发挥部分: (1)加密通信。 2 设计思路 根据此次课程设计的目的,串行通信电路是将 8bit的并行数据通过加密等过程,进行串行输送,在进行解码和串行数据变并行数据,最后输出。 我的设计思路就是:(1)加密模块:将八位数据通过八位加法器使要传送的数据同时加上一个数,而这个数是通过两片计数器组成的时钟电路实现的,并且此时钟电路不断的对输入数据进行加法运算,实现数据的加密计算。(2)并行变串行:并行变串行是通过数据选择器使八位的加密数据通过 74151实现数据的串行传送,从而实现电路的功能。 (3)串行数据变并行数据的电路设计思路是,将串行数据送到移位寄存器中,控制移位寄存器的时钟脉冲,使数据能够从移位寄存器的八个输出端口输出,但一定要控制好当移位寄存器有时钟脉冲作用时,所选出的数据恰好是加密后的八位并行数据,为后面的解码部分做准备。(4)解密模块:解密电路是利用时钟电路和加法器。不过,是将加法器的 CIN端置高电平,实现的是减法运算,还有就是时钟电路输出的要是加密时钟输出数据的反码,这样才能实现数据的解密。另外,数据解密是解密时钟电路的CP脉冲一定要和加密时钟脉冲的 CP脉冲一致,才能确保电路的正确性。 (5)数据输出电路的设计是将解密电路解密后的数据,送到寄存器中。控制寄存器的脉冲实现数据的输出。 以上为串行通信电路的设计思路。 篇三:串口通信设计本 科 实 验 报 告 实验名称: 串口通信设计 姓名:学号: 专业:队别:指导教员:职称:实验室:实验日期: 电子技术实验中心制 一、 实验目的? 熟悉串口通信的基本原理; ? 学习数据串并转换方法; ? 熟练分频电路实现方法。 二、 实验内容 ? PC机以不同波特率(9600B,19200B,38400B)与FPGA之间进行数据收发通信; ? 通过键盘输入数据给 PC机,然后通过串口发送给FPGA,FPGA 收到数据后在数码管显示该数据,再将该数据回传给计算机,通过超级终端(或串口小精灵)显示出来。三、 实验原理与设计 1. 串行通信的原理 根据同步方式的不同,串行通信又分为两类,异步通信和同步通信。同步通信时除了需要发送数据线,接收数据线和信号地线以外,还需要一根时钟信号线,时钟信号用于同步数据的发送和接收,传送时是先读取同步位,两设备的同步模式一样时数据开始传送,直到送完数据块,发送大的数据块时要周期性的重发同步字符。同步通信主要是应用于高速数据传送场合。异步通信,数据或字符是一帧一帧地传送,帧定义为一个字符完整的通信格式,也称为帧格式。它用占用一位的起始位表示字符的开始,其后是 5到 8位数据,规定低位在前,高位在后;再是奇偶校验位,通过对数据奇偶性的检查,用于判别字符传输的正确性,可选择三种方式即奇校验、偶校验和无校验;最后用停止位表示字符的结束,可以是 1位、位或 2位。从起始位开始到停止位结束构成完整的一帧,由于异步通信每传送一帧都有固定的格式,通信双方只要按约定的帧格式来发送和接受数据,所以硬件结构比同步通信方式简单。此外,它还能利用校验位检测错误,所以这种通信方式应用较为广泛。在单片机中主要采用异步通信方式。串行通信的数据传送速率可以用波特率表示,其意义是每秒传送多少位二进制数。 串行通信时,要求通讯双方都采用一个物理接口标准,使不同厂家生产的各种设备可以方便地连接起来进行通讯,目前应用最为广泛的有 RS-232和 RS-485两种。RS-232 是美国电子工业协会 EIA(Electronic Industry Association)制定的一种串行物理接口标准,是数据终端设备(DTE)和数据通信设备(DCE)之间的接口标准。该标准规定采用一个 9引脚的 DB-9接口,对接口的每个引脚的信号内 容加以规定,还对各种信号的电平加以规定。在日常应用中,一般使用的只有 1到 4个引脚,RS-232 标准 9个引脚 DB-9的引脚定义如下图所示。信号地 GND 数据终端就绪 DTR 发送数据 TXD 接收数据 RXD请求发送 数据设备就绪 振铃指示允许发送数据载波检测 CD DB-9 引脚定义图2. 串口通信的设计 根据 RS232异步通讯的帧格式 FPGA 数据接收模块中采用的每一帧格式为:1 位开始位+8 位数据位+1 位结束位,波特率为 9600。该模块首先检测串口数据的起始位,在检测到起始位后,每隔一个波特率的时间对串口数据进行一次采样,并存入寄存器的相应位置,当每一帧的 8位数据全部写入寄存器后,再统一输出。 四、 实验结果与分析 将设计程序下载到实验板上运行调试后,最终结果与预期效果基本一致,用键盘输入字符到串口调试软件,从串口调试软件发送到实验版上,板上数码管能正常显示。 此次的 RS232串行通信设计重在各个模块代码的编写,虽然能把各个模块的代码编写出来,并能正常显示,但对于各个模块的优化设计还有一定的缺陷和不足。总的来说,通过这次的设计实验更进一步地增强了实验的动手能力,对 RS232串行通信的工作原理也有了更加透彻的理解。 五、 总结与结论 通过本实验的学习,我们获得了不少的知识,为我们后续的学习生活指引了方向。回顾这学期,我从对QuartusII不了解到进一步掌握 QuartusII的使用全过程,并进一步懂得了多层次的设计方法,掌握了基本的 Verilog语言的设计方法。在这次串口通信实验中,从开始读题到最后完成,期间遇到了好多问题。在资料收集过程中,由于我们对串口通信也不是很熟悉,所以需要对串口通信进行全新的学习。虽然网上资料很多,不过还是都必须要自己能够看得熟悉,理解了之后才能自己拿来用。最后就是在程序的调试阶段,由于对软件的不熟悉,这个 也花了很长的时间来弄。不过最终还是得出了满意的结果。由于自身实力的问题,没能实现单片机到 PC机这个方向上的通信,只能简单的通过 PC机上的串口调试助手让数码管显示。这个就是本实验需要改进的地方。通过这次实验设计,让我进一步熟悉和掌握了 Verilog语言。除了课本上的知识之外,在人际交流沟通协作方面也有一定的提升,增强了团队协作能力。六、 附录(源代码等) module my_uart_top(clk,rst_n,rs232_rx,rs232_tx,com,shuma); input clk; / 50MHz 主时钟 input rst_n; /低电平复位信号 input rs232_rx;/ RS232 接收数据信号 output rs232_tx; / RS232 发送数据信号 output3:0 com; / 4位数码管的 4个公共端,分别控制 4个数码管是否显示 output7:0 shuma;/ 连接到数码管的 7a 6b 5c 4d 3e 2f 1g 0h wire bps_start;/接收到数据后,波特率时钟启动信号置位 wire clk_bps; / clk_bps的高电平为接收或者发送数据位的中间采样点 wire7:0 rx_data;/接收数据寄存器,保存直至下一个数据来到 wire rx_int; /接收数据中断信号,接收到数据期间始终为高电平 /- speed_selectspeed_select( .clk(clk), /波特率选择模块,接收和发送模块复用,不支持全双工通信 .rst_n(rst_n), .bps_start(bps_start), .clk_bps(clk_bps) ); my_uart_rx my_uart_rx( .clk(clk), /接收数据模块 .rst_n(rst_n), .rs232_rx(rs2

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论