电子设计自动化I随堂练习答案.doc_第1页
电子设计自动化I随堂练习答案.doc_第2页
电子设计自动化I随堂练习答案.doc_第3页
电子设计自动化I随堂练习答案.doc_第4页
电子设计自动化I随堂练习答案.doc_第5页
已阅读5页,还剩5页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

电子设计自动化I随堂练习答案第6章 VHDL结构与要素1. 实体下列实体的语句结构是否正确,如果不正确,请指出具体错误。(1) ENTITY mux21 ISPORT(a, b: IN BIT;s: IN BIT;y: OUT BIT);END mux21 正确 (2) ENTITY mux21 ISPORT(a ,b: IN BIT;s: IN BIT;y: OUT BIT);END ENTITY 不正确,“END ENTITY mux21” (3) entity MUX21 isport(A, B: in bit;S: in bit;Y: out bit);end mux21 正确 (4) ENTITY mux21 ISPORT(a, b: IN BIT; -a和b分别是数据输入端s: IN BIT;y: OUT BIT);END ENTITY mux21 正确 (5) ENTITY mcu16 ISGENERIC(addrwidth: INTEGER:=16);PORT(add_bus: OUT STD_LOGIC_VECTOR(addrwidth-1 DOWNTO 0);END mcu16 正确 (6) ENTITY mcu8 ISGENERIC(addrwidth: INTEGER:=16);PORT(add_bus: OUT STD_LOGIC_VECTOR(addrwidth-1 DOWNTO 0);END mcu8 正确 (7) ENTITY mcu16 ISGENERIC(addrwidth: INTEGER);PORT(add_bus: OUT STD_LOGIC_VECTOR(addrwidth-1 DOWNTO 0);END mcu16 正确 (8) ENTITY mcu16 ISGENERIC(addrwidth:=16);PORT(add_bus: OUT STD_LOGIC_VECTOR(addrwidth-1 DOWNTO 0);END mcu16 错误,“GENERIC(addrwidth: INTEGER:=16;“ (9) ENTITY mcu16 ISGENERIC(addrwidth: INTEGER:=16);PORT(add_bus: OUT STD_LOGIC_VECTOR(addrwidth-1 DOWNTO 0);END mcu16 错误,“GENERIC(addrwidth: INTEGER:=16;“ (10) ENTITY nand2 ISGENERIC(trise: TIME:=1ns;tfall:TIME:=1ns);PORT(a, b: IN STD_LOGIC;c: OUT STD_LOGIC);END nand2 正确 (11) ENTITY gate4 ISGENERIC(trise: TIME:=1ns;tfall:TIME:=1ns);PORT(a: IN STD_LOGIC;c: OUT STD_LOGIC;d: INOUT STD_LOGIC;e: BUFFER STD_LOGIC);END gate4 正确 (12) ENTITY nand2 ISGENERIC(trise: TIME:=1ns;tfall:TIME:=1ns);PORT(a, b: IN trise;c: OUT tfall);END nand2 错误,trise和tfall不是数据类型 (13) ENTITY nand2 ISGENERIC(trise: TIME:=1ns;tfall:TIME:=1ns);END nand2 错误,实体的语句结构必须包含端口说明 2. 求下列以数制基数表示的文字的十进制数值16#F.11#E2 15x162+1x161+1x160=38578#167.2#E1 1x83+6x82+7x81+2x80=9542#10.0001_1111#E8 1x29+1x24+1x23+1x22+1x21+1x20=5433. 判断下列文字或标识符是否合法“2FFT” 合法10#1A# 不合法O1A” 不合法X#E#E2 合法ELSE 不合法Not$ack 不合法_june 不合法june_ 不合法june_ _1 不合法A or B 合法/A or B/ 不合法AB 不合法A/B 合法Enter 合法“1_1101_1000” 合法4. 数据类型根据要求定义下列常量(CONSTANT)、变量(VARIABLE)或信号(SIGNAL)n TTL高电平5V,TTL低电平0VCONSTANT TTL_H: REAL:=5.0;CONSTANT TTL_L: REAL:=0.0;n a大于b结果为真,a小于b结果为假CONSTANT a_gt_b: BOOLEAN:=TRUE;CONSTANT a_lt_b: BOOLEAN:=FALSE;n 程序编写者xiaomingCONSTANT editor: STRING:=“xiaoming”;n xiaoming的学号201302031111CONSTANT xiaoming_xuehao: STRING:=“201302031111”;n xiaoming的EDA考试成绩90分CONSTANT xiaoming_chengji: INTEGER:=90;n 8位二进制表示的最大十进制数CONSTANT 8octect_to_integer: INTEGER:=255;n 用于LED七段码显示数字“9”CONSTANT num_9: BIT_VECTOR:=”1111011”;n 3-8译码器的输入信号SIGNAL oct_decoder_input: BIT_VECTOR(2 downto 0);n D触发器输出信号SIGNAL D_trigger_output: STD_LOGIC;5. 赋值语句根据要求给下列变量(VARIABLE)或信号(SIGNAL)赋值n 面积a等于r2a:=3.14*r*r;n 给8位位矢量的高4位赋值1a(7 downto 4):=”1111”;n 给8位位矢量的奇数位赋值1a(7):=”1”;a(5):=”1”;a(3):=”1”;a(1):=”1”;n 开关量信号初值为低电平SIGNAL switch_signal: BIT:=0;n EDA考试第一名成绩是99分eda_chengji_1 := 99.0;n EDA考试第一名的学号是201302031111eda_xuehao_1 := “201302031111”;n 负反馈电路信号nf给信号y赋值,传输延迟10nsy=nf AFTER 10 ns;n 用变量a给信号y赋值y =a;n 将信号y定义为标准逻辑位类型并设置为未初始化的SIGNAL y: STD_LOGIC:=U;6. 顺序语句(1) 根据电路补充VHDL语句(a)SIGNAL a, b, z: BIT;PROCESS(a, b)BEGINIF(a= 0 ) THENz=a;ELSIF( b=0 ) THENz=a;ELSEz=b;END IF;END PROCESS;(b)SIGNAL a, b, z: BIT;PROCESS(a, b)BEGINIF( a = 1 ) THENz=1;ELSIF( b = 0 ) THENz=1;ELSEz=0;END IF;END PROCESS;(c)SIGNAL a, b, c, z: BIT;PROCESS(a, b, c)szzzz= 1 END CASE;END PROCESS;(2) 根据功能要求写出语句a) 8位比较器SIGNAL a,b: BIT_VECTOR(7 DOWNTO 0);SIGNAL a_more_or_equal_to_b: BOOLEAN; _a_more_or_equal_to_b = FALSE; FOR n IN 7 downto 0 LOOPIF( a(n) b(n) ) THENa_more_or_equal_to_b = b(n) ) THENa_more_or_equal_to_b =n);b(k+8):=0;k:=k+1;END LOOP L_y;END LOOP L_x;问上述语句运行结束后变量a和b的值?a(0 to 7):=”00000000”;b(0 to 15):=” 0000000”;7. 并行语句(1) 用并行信号赋值语句改造下列顺序语句(a)ARCHITECTURE a_and_not_b OF mux21 ISSIGNAL a, b, z: BIT;BEGIN z=a WHEN a=0ELSE a WHEN b=0ELSE b; END a_and_not_b;(b)ARCHITECTURE a_xor_b_xor_c OF xor31 ISSIGNAL a, b, c, z: BIT;BEGIN s =a&b&c; WITH s SELECTz=0WHEN “000” ,0WHEN “011” ,0WHEN “101” ,0WHEN “110” ,1WHEN OTHERS ;END a_xor_b_xor_c;(2) 补充元件例化部分语句实现电路功能ENTIT

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

最新文档

评论

0/150

提交评论