数字电子技术教学PPT组合逻辑电路.ppt_第1页
数字电子技术教学PPT组合逻辑电路.ppt_第2页
数字电子技术教学PPT组合逻辑电路.ppt_第3页
数字电子技术教学PPT组合逻辑电路.ppt_第4页
数字电子技术教学PPT组合逻辑电路.ppt_第5页
已阅读5页,还剩124页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

2019/4/18,1,第4章 组合逻辑电路,4.1.1 组合逻辑电路的分析方法,4.1.2 组合逻辑电路的设计方法,4.1 组合逻辑电路的分析和设计方法,2019/4/18,2,第4章 组合逻辑电路,数字电路分类:组合逻辑电路和时序逻辑电路。 组合逻辑电路: 任意时刻的输出仅仅取决于当时的输入信号,而与电路原来的状态无关。,本章内容提要 小规模集成电路(SSI)构成组合逻辑电路的一般分析方法和设计方法。 常用组合逻辑电路的基本工作原理及常用中规模集成(MSI)组合逻辑电路的逻辑功能、使用方法和应用举例。,2019/4/18,3,4.1.1 组合逻辑电路的分析方法,1. 分析的主要步骤如下: (1)由逻辑图写表达式; (2)化简表达式; (3)列真值表; (4)描述逻辑功能。,4.1 门级组合逻辑电路的分析和设计方法,小规模集成电路是指每片在十个门以下的集成芯片。,所谓组合逻辑电路的分析,就是根据给定的逻辑电路图,求出电路的逻辑功能。,2019/4/18,4,2. 举例说明组合逻辑电路的分析方法,例4-1 试分析图3-1所示电路的逻辑功能。,解:第一步:由逻辑图可以写输出F的逻辑表达式为:,图3-1 例3-1逻辑电路图,2019/4/18,5,第二步:可变换为 F = AB+AC+BC 第三步:列出真值表如表4-1所示。,表4-1 例3-1真值表,第四步:确定电路的逻辑功能。 由真值表可知,三个变量输入,只有两个及两个以上变量取值为1时,输出才为1。可见电路可实现多数表决逻辑功能。,2019/4/18,6,例4-2 分析图4-2(a)所示电路的逻辑功能。,图4-2 例4-2逻辑电路图,仿真,2019/4/18,7,解:为了方便写表达式,在图中标注中间变量,比如F1、F2和F3。,S,2019/4/18,8,表4-2 例4-2真值表,该电路实现两个一位二进制数相加的功能。S是它们的和,C是向高位的进位。由于这一加法器电路没有考虑低位的进位,所以称该电路为半加器。根据S和C的表达式,将原电路图改画成图3-2(b)所示的逻辑图。,图4-2(b)逻辑图,2019/4/18,9,4.1.2 组合逻辑电路的设计方法,.组合逻辑电路的设计步骤: (1)分析设计要求,设置输入输出变量并逻辑赋值; (2)列真值表; (3)写出逻辑表达式,并化简; (4)画逻辑电路图。,与分析过程相反,组合逻辑电路的设计是根据给定的实际逻辑问题,求出实现其逻辑功能的最简单的逻辑电路。,2019/4/18,10,2. 组合逻辑电路设计方法举例。,例4-3 一火灾报警系统,设有烟感、温感和紫外光感三种类型的火灾探测器。为了防止误报警,只有当其中有两种或两种以上类型的探测器发出火灾检测信号时,报警系统产生报警控制信号。设计一个产生报警控制信号的电路。,解:(1)分析设计要求,设输入输出变量并逻辑赋值;,输入变量:烟感A 、温感B,紫外线光感C; 输出变量:报警控制信号Y。 逻辑赋值:用1表示肯定,用0表示否定。,2019/4/18,11,(2)列真值表; 把逻辑关系转换成数字表示形式;,表3-2 例3-3真值表,(3) 由真值表写逻辑表达式,并化简;,化简得最简式:,2019/4/18,12,图4-3 例4-3的逻辑电路图,(4) 画逻辑电路图: 用与非门实现,其逻辑图与例3-1相同。 如果作以下变换:,用一个与或非门加一个非门就可以实现, 其逻辑电路图如图4-3所示。,2019/4/18,13,例 4-4 有三个班学生上自习,大教室能容纳两个班学生, 小教室能容纳一个班学生。设计两个教室是否开灯的逻辑 控制电路,要求如下: (1) 一个班学生上自习, 开小教室的灯。 (2) 两个班上自习, 开大教室的灯。 (3) 三个班上自习, 两教室均开灯。 解 () 确定输入、 输出变量的个数: 根据电路要求,设 输入变量、分别表示三个班学生是否上自习, 1表 示上自习, 表示不上自习; 输出变量、 分别表示大 教室、小教室的灯是否亮, 表示亮, 表示灭。,2019/4/18,14,() 列真值表: 如表3-4所示。,表 4-4 例 4-3 的真值表,2019/4/18,15,() 画逻辑图: 逻辑电路图如图4.5(a)所示。若要求用T与非门,实现该设计电路的设计步骤如下: 首先, 将化简后的与或逻辑表达式转换为与非形式; 然后再画出如图4.5(b)所示的逻辑图; 最后, 画出用与非门实现的组合逻辑电路。,() 化简: 利用卡诺图化简, 如图3.4所示可得:,2019/4/18,16,图 4-4 例 4-3 的卡诺图,2019/4/18,17,图 4-5 例 4-3 的逻辑图 (a) 直接实现; (b) 用与非门实现,2019/4/18,18,练习: 1、设计一个A、B、C三人表决电路。当表决某个提案时,多数人同意,提案通过。用与非门实现。,2019/4/18,19,作业题,P84 1、4.1 2、4.2 3、4.3 4、4.4,2019/4/18,20,4.2.1 编码器,4.2 常用中规模集成组合逻辑器件及其应用,2019/4/18,21,人们为解决实践上遇到的各种逻辑问题,设计了许多逻辑电路。然而,我们发现,其中有些逻辑电路经常、大量出现在各种数字系统当中。为了方便使用,各厂家已经把这些逻辑电路制造成中规模集成的组合逻辑电路产品。,比较常用的有编码器、译码器、数据选择器、加法器和数值比较器等等。下面分别进行介绍。,2019/4/18,22,生活中常用十进制数及文字、符号等表示事物。,4.2.1 编码器,数字电路只能以二进制信号工作。,用二进制代码表示文字、符号或者数码等特定对象的过程,称为编码。 实现编码的逻辑电路,称为编码器。,2019/4/18,23,对M个信号编码时,应如何确定位数N? N位二进制代码可以表示多少个信号? 例:对101键盘编码时,采用几位二进制代码?,编码原则:N位二进制代码可以表示2N个信号,则对M个信号编码时,应由2N M来确定位数N。 例:对101键盘编码时,采用了7位二进制代码ASC码。27128101。 目前经常使用的编码器有普通编码器和优先编码器两种。,2019/4/18,24,4.2.1.1 普通编码器,定义:任何时刻只允许输入一个有效编码请求信号,否则输出将发生混乱。 举例:以一个三位二进制普通编码器为例,说明普通编码器的工作原理。,图4-4 普通编码器的方框图,输入:八个信号(对象) I0I7 (二值量),八个病房呼叫请求,输出:三位二进制代码 Y2Y1Y0 称八线三线编码器,对病房编码,2019/4/18,25,表4-5 编码器输入输出的对应关系,设输入信号为1表示对该输入进行编码。,任何时刻只允许输入一个编码请求,表达式、电路图?,其它输入取值组合不允许出现,为无关项。,2019/4/18,26,4.2.1.2 优先编码器,在优先编码器中,允许同时输入两个以上的有效编码请求信号。 当几个输入信号同时出现时,只对其中优先权最高的一个进行编码。 优先级别的高低由设计者根据输入信号的轻重缓急情况而定。如根据病情而设定优先权。,2019/4/18,27,表4-6 74LS148电路的功能表,例:八线三线优先编码器74LS148,2019/4/18,28,低电平有效,2019/4/18,29,2019/4/18,30,禁止状态,工作状态,2019/4/18,31,2019/4/18,32,图4-6 74LS148的逻辑符号,以上通过对74LS148编码器逻辑功能的分析,介绍了通过MSI器件逻辑功能表了解集成器件功能的方法。,要求初步具备查阅器件手册的能力。不要求背74LS148的功能表。,2019/4/18,33,图4-7 用74LS148接成的16线4线优先编码器,2019/4/18,34,4.2.2 译码器,译码: 编码的逆过程,将编码时赋予代码的特定含义“翻译”出来。 译码器: 实现译码功能的电路。,常用的译码器有二进制译码器、二-十进制 译码器和显示译码器等。,2019/4/18,35,4.2.2.1 二进制译码器,图4-7 三位二进制译码器的方框图,输入:二进制代码(N位), 输出:2N个,每个输出仅包含一个最小项。,输入是三位二进制代码、有八种状态,八个输出端分别对应其中一种输入状态。因此,又把三位二进制译码器称为3线8线译码器。,2019/4/18,36,1. 74LS138的逻辑功能,内部电路图,负逻辑与非门,为便于理解功能而分析内部电路,2019/4/18,37,表4-6 74LS138的功能表,2019/4/18,38,图4-8 74LS138的逻辑符号,2019/4/18,39,如74LS138的功能表所示,当S1接+5V,S2和S3接地时,得到对应个输入端的输出Y:,2019/4/18,40,Y0Y7,S3,2019/4/18,41,当译码器处于工作状态时,每输入一个二进制代码将使对应的一个输出端为低电平,而其它输出端均为高电平。也可以说对应的输出端被“译中”。 74LS138输出端被“译中”时为低电平,所以其逻辑符号中每个输出端 上方均有“”符号。,2019/4/18,42,2. 应用举例 (1)功能扩展(利用使能端实现),图3-9 用两片74LS138译码器构成4线16线译码器,A3 =0时,片工作,片禁止,A3 =1时,片禁止,片工作,2019/4/18,43,(2) 实现组合逻辑函数F(A,B,C),2019/4/18,44,例4-4 试用74LS138译码器实现逻辑函数:,解:因为,则,2019/4/18,45,图4-10 例4-4电路图,2019/4/18,46,4.2.2.2 二-十进制译码器,二十进制译码器的逻辑功能是将输入的BCD码译成十个输出信号。,图4-11 二十进制译码器74LS42的逻辑符号,2019/4/18,47,表4-7 二-十进制译码器74LS42的功能表,2019/4/18,48,设计举例: 1、用译码器设计组合逻辑电路 例:试用3线-8线译码器74LS138和适当的门电路构成一个1位二进制全加器。 解:已知全加器真值表,2019/4/18,49,Sn=(1,2,4,7) Cn=(3,5,6,7) 用2个与非门和一个4选1实现两输出电路设计。,2019/4/18,50,4.2.2.3 显示译码器,在数字测量仪表和各种数字系统中,都需要将数字量直观地显示出来,一方面供人们直接读取测量和运算的结果,另一方面用于监视数字系统的工作情况。 数字显示电路是数字设备不可缺少的部分。数字显示电路通常由显示译码器、驱动器和显示器等部分组成,如图4-12所示。,2019/4/18,51,图4-12 数字显示电路的组成方框图,1. 数字显示器件 数字显示器件是用来显示数字、文字或者符号的器件,常见的有辉光数码管、荧光数码管、液晶显示器、发光二极管数码管、场致发光数字板、等离子体显示板等等。本书主要讨论发光二极管数码管。,2019/4/18,52,(1)发光二极管(LED)及其驱动方式,LED具有许多优点,它不仅有工作电压低(1.53V)、体积小、寿命长、可靠性高等优点,而且响应速度快(100ns)、亮度比较高。 一般LED的工作电流选在510mA,但不允许超过最大值(通常为50mA)。 LED可以直接由门电路驱动。,2019/4/18,53,图(a)是输出为低电平时,LED发光,称为低电平驱动; 图(b)是输出为高电平时,LED发光,称为高电平驱动; 采用高电平驱动方式的TTL门最好选用OC门。,图4-13 门电路驱动LED (a) 低电平驱动 (b) 高电平驱动,R为限流电阻,2019/4/18,54,图4-14 七段显示LED数码管 (a) 外形图 (b) 共阴型 (c) 共阳型,(2) LED数码管 LED数码管又称为半导体数码管,它是由多个LED按分段式封装制成的。LED数码管有两种形式:共阴型和共阳型。,公共阴极,公共阳极,2019/4/18,55,图4-15 七段数码管字形显示方式,2七段显示译码器,(1)七段字形显示方式 LED数码管通常采用图3-15所示的七段字形显示方式来表示0-9十个数字。,2019/4/18,56,图4-16 74LS49的逻辑符号,(2)七段显示译码器,灭灯 控制端,七段显示器译码器把输入的BCD码,翻译成驱动七段LED数码管各对应段所需的电平。 74LS49是一种七段显示译码器。,2019/4/18,57,表4-8 74LS49的功能表,灭灯状态,2019/4/18,58,译码输入端:D、C、B、A,为8421BCD码;,七段代码输出端:abcdefg,某段输出为高电平时该段点亮,用以驱动高电平有效的七段显示LED数码管; 灭灯控制端:IB , 当IB = 1时,译码器处于正常译码工作状态; 若IB = 0,不管D、C、B、A输入什么信号,译码器各输出端均为低电平,处于灭灯状态。 利用IB信号,可以控制数码管按照要求处于显示或者灭灯状态,如闪烁、熄灭首尾部多余的0等。,2019/4/18,59,图4-17 74LS49驱动LED数码管电路,图4-17是一个用七段显示译码器74LS49驱动共阴型LED数码管的实用电路。,2019/4/18,60,如何用74LS138译码器实现如下逻辑函数?,复习,2019/4/18,61,作业题,P85 1、3.7(1、3) 2、3.17,2019/4/18,62,4.2.3 数据选择器,4.2.4 加法器,4.2.5 数值比较器,2019/4/18,63,复习,LED数码管有哪两种形式 ? 高电平有效的七段显示译码器应驱动哪种LED数码管?,2019/4/18,64,在多路数据传送过程中,能够根据需要将其中任意一路挑选出来的电路,叫做数据选择器,也称为多路选择器,其作用相当于多路开关。 常见的数据选择器有四选一、八选一、十六选一电路。,4.2.3 数据选择器,2019/4/18,65,以四选一数据选择器为例。,(1) 四选一数据选择器的逻辑电路图,图4-1 四选一数据选择器电路,4.2.3.1 数据选择器的工作原理,地址 输入端,控制 输入端,数据 输入端,输出端,2019/4/18,66,(2)四选一数据选择器的功能表,表4-1 四选一数据选择器的功能表,2019/4/18,67,4.2.3.2 八选一数据选择器74LS151,图4-2 74LS151的逻辑符号,2019/4/18,68,表4-2 74LS151的功能表,2019/4/18,69,4.2.3.3 应用举例,1. 功能扩展 用两片八选一数据选择器74LS151,可以构成十六选一数据选择器。,试回忆用两片38线译码器74LS138实现416线译码器的方法。 利用使能端(控制端)。,2019/4/18,70,图4-3 用74LS151构成十六选一数据选择器,A3 =1时,片禁止,片工作,A3 =0时,片工作,片禁止,输出需适当处理(该例接或门),仿真,2019/4/18,71,2 实现组合逻辑函数,比较可知,表达式中都有最小项mi,利用数据选择器可以实现各种组合逻辑函数。,组合逻辑函数,8选1,4选1,2019/4/18,72,例4-5 试用八选一电路实现,解:将A、B、C分别从A2、A1、A0输入,作为输入变量,把Y端作为输出F。因为逻辑表达式中的各乘积项均为最小项,所以可以改写为,根据八选一数据选择器的功能,令,2019/4/18,73,具体电路见图4-4:,图4-4 例4-5电路图,仿真,2019/4/18,74,真值表对照法,注意变量 高低位顺序!,2019/4/18,75,例4-6 试用八选一电路实现三变量多数表决电路。,表4-11 例4-6的真值表,解:假设三变量为A、B、C,表决结果为F,则真值表如表4-11所示。,2019/4/18,76,在八选一电路中,将A、B、C从A2、A1、A0 输入,令,则可实现三变量多数表决电路,具体电路图请读者自行画出。,则,2019/4/18,77,思考: 若用8选1实现4变量的函数, 或者用4选1实现3变量的函数, 即地址输入端的个数比变量个数小1, 如何实现?如:,2019/4/18,78,例4-7 图47是由双4选1数据选择器74LS153和门电路组成的组合逻辑电路。试分析输出Z与输入X3、X2、X1、X0之间的逻辑关系。,4.2.3.4 分析举例,图45 例4-7电路图,2019/4/18,79,(1)划分功能块 本题只有一块MSI电路,可以只划分一个功能块。 (2)分析功能块的功能 通过查74LS153的功能表,知道它是一块双4选1数据选择器。其中:A1、A0是地址输入端,Y是输出端;74LS153的控制输入端为低电平有效;数据选择器处于禁止状态时,输出为0。,解:,2019/4/18,80,2019/4/18,81,图4-7 8选1功能框图,显然,图46电路构成了一个8选1数据选择器,其输出为Z,地址输入端为X3、 X1 、 X0。,图46电路可用图4-7的功能框图来表示。,2019/4/18,82,(3)分析整体电路的逻辑功能,把图47电路看成一个8选1数据选择器,可得出 例4-7电路的功能表。,表4-7 例4-7电路的功能表,分析电路的功能表,当X3X2X1X0为8421BCD码00001001时,电路的输出为1,否则输出为0。 可见该电路可实现检测8421BCD码的逻辑功能。,2019/4/18,83,4.2.4 加法器,算术运算是数字系统的基本功能,更是计算机中不可缺少的组成单元。本节介绍实现加法运算的逻辑电路。 4.2.4.1 全加器,本章的3.1节讨论过半加器电路,它是不考虑低位进位的加法器。 全加器能把本位两个加数An 、 Bn 和来自低位的进位Cn-1三者相加,得到求和结果Sn 和该位的进位信号Cn 。,2019/4/18,84,表3-12 全加器 的真值表,由真值表写最小项之和式,再稍加变换得:,2019/4/18,85,由真值表写最小项之和式,再稍加变换得:,2019/4/18,86,图4-7 全加器 (a)电路图 (b)逻辑符号,由表达式得逻辑图:,2019/4/18,87,4.2.4.2 多位加法器,74LS283电路是一个四位加法器电路,可实现两个四位二进制数的相加,其逻辑符号如图3-23所示。,全加器可以实现两个一位二进制数的相加,要实现多位二进制数的相加,可选用多位加法器电路。,2019/4/18,88,图4-8 74LS283电路的逻辑符号,CI是低位的进位, CO是向高位的进位, A3A2A1A0和B3B2B1B0是两个二进制待加数, S3、S2、S1、S0是对应各位的和。,2019/4/18,89,多位加法器除了可以实现加法运算功能之外,还可以实现组合逻辑电路。,图4-9 由74LS283构成的代码转换电路,8421BCD码,0011,余3码,例:将8421BCD码转换成余3码。 余3码8421BCD码3(即0011),2019/4/18,90,4.2.5 数值比较器,数值比较器:能够比较数字大小的电路。 1.两个一位数A和B相比较的情况: (1)AB:只有当A=1、B=0时,AB才为真; (2)AB:只有当A=0、B=1时,AB才为真; (3)A = B:只有当A=B=0或A=B=1时,A = B才为真。,2019/4/18,91,图4-9 74LS85的逻辑符号,如果要比较两个多位二进制数A和B的大小?,必须从高向低逐位进行比较。,2. 四位数值比较器74LS85,级联输入,便于功能扩展,2019/4/18,92,表4-3 74LS85的功能表,2019/4/18,93,4.3 组合电路中的冒险,4.3.1 冒险现象 在前面的逻辑电路中往往把组件看成是理想的,我们只讨论输入和输出的稳定状态之间的关系,没有考虑在传输过程中信号经过组件、导线所产生的延迟。这使得设计出来的逻辑电路尽管正确无误,工艺装配也符合要求,但实际工作中却可能出现错误的输出。对组合电路来说,这种错误的输出虽然是暂时的(在信号发生变化时,在输出端出现不希望的尖锋),信号稳定后错误会消失,但仍会引起工作的不可靠。我们称出现尖锋的现象为逻辑电路的冒险现象.,2019/4/18,94,组合电路中的冒险现象分为逻辑冒险和功能冒险。前者是指在一个输入变量变化时,电路在瞬变过程中出现的短暂错误输出。而后者则指在多个变量同时变化时,电路在瞬变过程中出现的短暂错误输出。 下面通过分析图3.36(a)所示的逻辑电路来说明逻辑冒险现象。,2019/4/18,95,在图(a)所示电路中,若变量B=C=1,则在理想情况下,变量A由0变1或由1变0时,输出端应为:,2019/4/18,96,即输出应维持1不变。但实际情况是,由于信号通过不同的路径到达输出端,而不同的路径有不同的延迟时间,在输出端反映的信号变化就不是同时发生了。 应当说明;在输入信号发生变化时,即使有延迟也并不一定会发生冒险。如在图所示电路中,A由0变1,则由于门3由1变0先于门2由0变1,这样门4输出维持为1不变,不发生0冒险。,2019/4/18,97,另外,如果门电路有两个输入变量A、B同时向相反方向变化(如A由0变1,B由1变0),由于信号到输出端的路径、门的延迟时间不同,也可能产生0型或1型冒险(功能冒险)。,2019/4/18,98,3. 部分常用的MSI组合逻辑电路的型号、名称和 主要功能表,表2-14,2019/4/18,99,2019/4/18,100,返回,2019/4/18,101,作业题,P85 1、4.7(1、3) 2、4.8(1、3) 3、4.10 4、4、14,2019/4/18,102,本章小结,4.3 单元级组合逻辑电路的设计和分析方法,4.3.1单元级组合逻辑电路的设计,4.3.2 单元级组合逻辑电路的分析方法,2019/4/18,103,4.4.1 单元级组合逻辑电路的设计方法,设计的一般步骤: (1)根据逻辑问题列出真值表。 (2)根据真值表写出逻辑表达式 (3)逻辑表达式的变换,使其符合单元电路芯片的输入、输出要求。 (4)画出逻辑电路图。,2019/4/18,104,设计举例: 1、用译码器设计组合逻辑电路 例:试用3线-8线译码器74LS138和适当的门电路构成一个1位二进制全加器。 解:已知全加器真值表,2019/4/18,105,Sn=(1,2,4,7) Cn=(3,5,6,7) 用2个与非门和一个4选1实现两输出电路设计。,2019/4/18,106,4.4.2 单元级组合逻辑电路的分析方法,MSI组合逻辑电路的分析:以中规模集成器件为核心的组合逻辑电路的分析。 本节将MSI电路按功能块进行划分,逐块分析各功能块电路,最后得出整个电路功能的分析方法,这种方法称为功能块级的电路分析,适用于更加复杂的逻辑电路分析。,2019/4/18,107,4.7.1 分析步骤,图4-9 功能块组合逻辑电路分析流程图,分析步骤 (1)划分功能块 (2)分析功能块的逻辑功能 (3)分析整体逻辑电路的功能,2019/4/18,108,(1)划分功能块,首先根据电路的复杂程度和器件类型,视情形将电路划分为一个或多个逻辑功能块。 功能块内部,可以是单片或多片MSI或SSI以及扩展组合的电路。 分成几个功能块和怎样划分功能块,这取决于对常用功能电路的熟悉程度和经验。 画出功能块电路框图有助于进一步的分析。,2019/4/18,109,(2)分析功能块的逻辑功能,利用前面学过的常用功能电路的知识,分析各功能块逻辑功能。 如有必要,可写出每个功能块的逻辑表达式或逻辑功能表。,2019/4/18,110,(3)分析整体逻辑电路的功能,在对各功能块电路分析的基础上,最后对整个电路进行整体功能的分析。 如有必要,可以写出输入与输出的逻辑函数式,或列出功能表。 应该注意,即使电路只有一个功能块,整体电路的逻辑功能也不一定是这个功能块原来的逻辑功能。,2019/4/18,111,例4-7 图415是由双4选1数据选择器74LS153和门电路组成的组合逻辑电路。试分析输出Z与输入X3、X2、X1、X0之间的逻辑关系。,3.7.2 分析举例,图415 例4-7电路图,2019/4/18,112,(1)划分功能块 本题只有一块MSI电路,可以只划分一个功能块。 (2)分析功能块的功能 通过查74LS153的功能表,知道它是一块双4选1数据选择器。其中:A1、A0是地址输入端,Y是输出端;74LS153的控制输入端为低电平有效;数据选择器处于禁止状态时,输出为0。,解:,2019/4/18,113,2019/4/18,114,图4-17 8选1功能框图,显然,图415电路构成了一个8选1数据选择器,其输出为Z,地址输入端为X3、 X1 、 X0。,图4-17电路可用图4-16的功能框图来表示。,2019/4/18,115,(3)分析整体电路的逻辑功能,把图4-17电路看成一个8选1数据选择器,可得出 例4-7电路的功能表。,表4-7 例4-7电路的功能表,分析电路的功能表,当X3X2X1X0为8421BCD码00001001时,电路的输出为1,否则输出为0。 可见该电路可实现检测8421BCD码的逻辑功能。,2019/4/18,116,例4-8 图4-18电路由4位

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论