串入并出移位寄存器.doc_第1页
串入并出移位寄存器.doc_第2页
串入并出移位寄存器.doc_第3页
串入并出移位寄存器.doc_第4页
全文预览已结束

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

北华航天工业学院教案教研室:电工电子基础 授课教师:李国洪课程名称EDA技术与实践课次21主 要 教 学 内 容时间分配实验十、时序电路设计串入/并出移位寄存器1设计一个8位串入/并出移位寄存器。2将编辑好的16-4优先编码器进行编译和仿真。3编程下载,用EDA实验开发系统进行硬件验证90教学目的通过上机实践,掌握VHDL语言的基本结构及设计的输入方法。掌握VHDL语言的时序电路设计方法。教学重点VHDL语言的基本结构及设计的输入方法,VHDL语言的时序电路设计方法教学难点时序电路设计方法教学方法使用教具上机实验拟留作业实验报告十授课总结实验十、时序电路设计串入/并出移位寄存器一 实验目的1掌握VHDL语言的基本描述语句的使用方法。2掌握使用VHDL语言进行时序电路设计的方法。二 实验设备与仪器1计算机2MAX+PLUS工具软件3EDAV型实验箱4编程器件:FLEX10K10LC84-4三 实验内容根据实验给出的4位串入/并出移位寄存器的设计方法,设计一个8位串入/并出移位寄存器。四 实验原理在这里我们通过一个4位串入/并出移位寄存器设计过程来介绍如何设计串入/并出移位寄存器。所谓的串入/并出移位寄存器,即输入的数据是一个接着一个依序地进入,输出时则一起送出。仿真结果如图所示。4位串入/并出移位寄存器仿真波形图上图中输入的数据为“1010”、“0111”两组4位数据。因输入的数据是每次一位依序进入,故输入、输出信号之间有4个CLK时间的延迟。为了过滤中间没用的数据,只读取完整的存储数据,实用上可将取样脉冲的周期设定成CLK脉冲的四倍,也就是说,每经过4个CLK脉冲后再读取数据一次,便能每次读取都得到正确的数据。例程代码如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL ;USE IEEE.STD_LOGIC_UNSIGNED.ALL ;ENTITY sipo IS PORT(D_IN :IN STD_LOGIC;CLK :IN STD_LOGIC;D_OUT :OUT STD_LOGIC_VECTOR(3 DOWNTO 0);END sipo;ARCHITECTURE a OF sipo ISSIGNAL Q: STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINP1: PROCESS(CLK) BEGINIF CLKEVENT AND CLK = 1 THEN Q(0) = D_IN;FOR I IN 1 TO 3 LOOPQ(I) = Q(I-1);END LOOP;END IF; END PROCESS P1;D_OUT =Q ;END a;五 实验步骤1请参考以上程序设计完成8位串入/并出移位寄存器的设计。2将编辑好的程序分别进行引脚的锁定、编译和仿真。3输入时钟信号接时钟电路的相应输出(CLK0CLK5),输入信号接拨码开关或按键,输出信号接发光二极管。4将ByteBlaster电缆的一端与计算机的并行口相连,另一端10针阴头与实验板的插座相连。5选择菜单命令Options/Hardware Setup,出现图3-67所示设置编程硬件对话框。在Hardware Type栏的下拉条中选择Byte Blaster;6单击Configure按钮,即开始配置器件。若器件或电缆或电源有问题,

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

最新文档

评论

0/150

提交评论