学习笔记-fpga设计电子时钟(12864显示).doc_第1页
学习笔记-fpga设计电子时钟(12864显示).doc_第2页
学习笔记-fpga设计电子时钟(12864显示).doc_第3页
学习笔记-fpga设计电子时钟(12864显示).doc_第4页
学习笔记-fpga设计电子时钟(12864显示).doc_第5页
已阅读5页,还剩10页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

fpga设计电子时钟(12864显示)设计心得:1,进行分块设计,类似调用函数,脉冲使能2,充分了解fpga的并行特性(c程序的串行特性,不能并行处理,线性:只有完成了当前任务,才能进行下一个任务)设计问题:1,似乎读有问题,在char_lr=1时,写的数据为汉字(程序中时间没有更改,主要为了调试看波形)实际板子验证时,将lcd_clk模块中的分频调为50到100khz左右整体架构控制时序功能模块时序处理功能模块初始化写汉字写字符绘图1,液晶上电初始化2,清屏1,采用绘图模式2,一次一个汉字3,位置,编码编号1,采用cdram模式2,一次一个字符3,位置1,采用绘图模式2,大小可变(库编码内存不变)3,位置,长宽非忙应答液晶初始化时序parameter idle=8b0000_0001,basic_com=8b0000_0010,/basic instruction:0x30disp_set=8b0000_0100,/set show curse blingddram_clear=8b0000_1000,/colunm address xwait_clear=8b0001_0000,point_set=8b0010_0000,show_on=8b0100_0000,stop=8b1000_0000;写字符的时序由于字符属于半宽字形,且ddram形式下,每行只有8个地址,而字符可以写16个,因此用下面三个来表示写的地址:input 1:0 y,/row 0-3input 2:0x,/clunm 0-7input lr,/0/1因此当lr=0时,直接写地址,然后写一个字符编码即可 lr=1时,先写地址,读出高位数据,然后写入两个字节(读出的数据,要写的数据)parameter idle=8b0000_0001,ddram=8b0000_0010,/drawing modew_addr=8b0000_0100,/row address ydummy=8b0000_1000,/ not really readingr_data=8b0001_0000,/reading high byte dataw0_data=8b0010_0000,w1_data=8b0100_0000,stop=8b1000_0000;# t1: 0 t2: 2305, t: 10,n_init: 38# t1: 2305 t2: 2665, t: 10,n_char: 6# t1: 2665 t2: 3265, t: 10,n_char: 10完成了上述工作,就可以设计一个简单的电子时钟,其要求如下:在屏幕上显示时间 00:00:00要动态走(主要就是控制脉冲信号的产生)设计思路:1,按下复位键,系统复位,时间变为00:00:002,每一秒中时分秒数据更改3,一秒钟时间到,产生8个字符写的脉冲,lcd更新数据显示00:00:0100:01:591代码/*sign.v/creat the control sign clock h:m:s*/module sign(/module led(input lcd_clk,/100khzinput sys_rst,output reg lcd_char_en,output reg lcd_init_en,output reg 7:0char_data,output reg 2:0char_x,output reg 1:0char_y,output reg char_lr);parameter t_w_char = 5, t_lcd_init = 40;reg 47:0 time_out;/* 1s */reg 16:0 cnt_s;reg 5:0 cnt0_clk;reg 3:0 cnt1_clk;reg 2:0 cnt_char;reg 5:0 sec,min;reg 4:0 hour;reg flag_s,flag_init;always (posedge lcd_clk or negedge sys_rst)begin /100khzif(!sys_rst)begincnt_s =0;cnt0_clk=0;cnt1_clk=0;cnt_char=0;sec =0;min =0;hour =0;flag_s =0;flag_init =1b1; char_y = 2b10; endelsebeginif(cnt_s = (40-1)begincnt_s =0;flag_s=1b1;data_deal;/taskendelsecnt_s =cnt_s+1b1;if(flag_init) begincnt0_clk = cnt0_clk +1b1;case(cnt0_clk)1: begin lcd_init_en =1b0;end2: begin lcd_init_en =1b1;end3: begin lcd_init_en =1b1;end4: begin lcd_init_en =1b0;endt_lcd_init: begin flag_init =0;cnt0_clk =0;enddefault: lcd_init_en =0;endcase endelseif(flag_s)begincnt1_clk = cnt1_clk +1b1;case(cnt1_clk)1: begin lcd_char_en =1b0;end2: begin lcd_char_en =1b1;end3: begin lcd_char_en =1b1;end4: begin lcd_char_en =1b0;endt_w_char: begin if(cnt_char = 3b111)begin cnt_char=0; flag_s =0; endelsecnt_char =cnt_char+1b1;cnt1_clk =0;enddefault: lcd_char_en =0;endcasecase(cnt_char)/2 3 4 50: begin char_x =3b000; char_lr =0; char_data =time_out47:40; end/x0:00:001: begin char_x =3b001; char_lr =0; char_data =time_out39:32; end /0x:00:002: begin char_x =3b010; char_lr =0; char_data =8h3a; end /: ascii 0583: begin char_x =3b011; char_lr =0; char_data =time_out31:24; end /00:x0:004: begin char_x =3b100; char_lr =0; char_data =time_out23:16; end /00:0x:005: begin char_x =3b101; char_lr =0; char_data =8h3a; end /: ascii 0586: begin char_x =3b110; char_lr =0; char_data =time_out15: 8; end /00:00:x07: begin char_x =3b111; char_lr =0; char_data =time_out7 : 0; endendcaseendendendtask data_deal;beginif(sec = 59)if(min =59) if(hour = 23)beginhour=0;min=0;sec=0; endelsebegin hour=hour + 1b1; min =0; sec=0; endelsebegin min = min+1b1; sec =0; endelsesec = sec +1b1;time_out47:40 = 8h30+(hour/10);time_out39:32 = 8h30+(hour%10);time_out31:24 = 8h30+(min /10);time_out23:16 = 8h30+(min %10);time_out15: 8 = 8h30+(sec /10);time_out 7: 0 = 8h30+(sec %10);endendtaskendmodule2代码module lcd_init(/module led(input lcd_clk,input sys_clk,input lcd_en,/1 is activedoutput reglcd_rs,outputreglcd_rw,output reglcd_en,inout 7:0 lcd_data,output regack);reg flag;reg 7:0 lcd_data;reg 7:0state;reg link_rs;reg link_data;parameter idle=8b0000_0001,basic_com=8b0000_0010,/basic instruction:0x30disp_set=8b0000_0100,/set show curse blingddram_clear=8b0000_1000,/colunm address xwait_clear=8b0001_0000,point_set=8b0010_0000,show_on=8b0100_0000,stop=8b1000_0000;reg 9:0cnt;/16*2*32=210 byte(8bits)/* lcd_rw lcd_data*/assign lcd_data = link_data ? lcd_data: 8hzz;/* lcd_rw lcd_en */always (posedge sys_clk) beginif(flag)beginlcd_rw =0;lcd_en = lcd_clk;endelsebeginlcd_rw =1bz;lcd_en =1bz;endend/* lcd_rs */always (posedge lcd_clk) beginif(link_rs)lcd_rs =1b0;elselcd_rs =1bz;end/*-main state transter-*/always (posedge lcd_clk) begincase (state)idle: beginif(lcd_en)begin link_rs=1; state= basic_com; endelsestate= idle; ack =0; flag=1b0;lcd_data= 8hzz;cnt= 0;endbasic_com: beginflag=1b1;link_data =1b1;cnt = cnt +1b1;if(cnt = 1)state= disp_set;elsestate= basic_com;lcd_data= 8h30;enddisp_set: beginstate= ddram_clear;lcd_data= 8h0c;/show curse blink is offendddram_clear: beginstate= wait_clear;lcd_data= 8h01;endwait_clear: begincnt =cnt +1b1;link_data = 1b0;flag =0;lcd_data= 8hzz;if(cnt = 30)state = point_set;elsestate = wait_clear;endpoint_set: begin flag =1b1; link_data =1b1;state= show_on;lcd_data= 8h06;/point +1 automatically,screen move offendshow_on: beginstate= stop;lcd_data= 8h0c;endstop: beginstate= idle;flag=1b0;link_data =1b0;ack=1b1;lcd_data= 8hzz;cnt= 0;link_rs =0;enddefault:begin ack =0;state = idle;endendcaseendendmodule3代码/module lcd_top(module led(input sys_clk,input sys_rst,output lcd_rs,output lcd_rw,output lcd_en,inout 7:0lcd_data,output busy);wire 7:0 char_data;wire 2:0 char_x;wire 1:0 char_y;sign singb(.lcd_clk(lcd_clk),/100khz.sys_rst(sys_rst),.lcd_char_en(lcd_char_en),.lcd_init_en(lcd_init_en),.char_data(char_data),.char_x(char_x),.char_y(char_y),.char_lr(char_lr);lcd_init lcd_init(.lcd_clk(lcd_clk),.sys_clk(sys_clk),.lcd_en(lcd_init_en),/1 is actived.lcd_rs(lcd_rs),.lcd_rw(lcd_rw),.lcd_en(lcd_en),.lcd_data(lcd_data),.ack(init_ack);lcd_charac a(.lcd_clk(lcd_clk),.sys_clk(sys_clk),.lcd_en(lcd_char_en),/1 is actived.y(char_y),/row 0-3.x(char_x),/clunm 0-7.lr(char_lr),/0/1.data_disp(char_data),.lcd_rs(lcd_rs),.lcd_rw(lcd_rw),.lcd_en(lcd_en),.lcd_data(lcd_data),.ack(init_ack);lcd_clk clk1(.sys_clk(sys_clk),.lcd_clk(lcd_clk);endmodule4代码module lcd_clk(/module led(input sys_clk,output reg lcd_clk);/*-creat the 25khz clock-*/reg 11:0 lcd_cnt;always (posedge sys_clk) beginif(lcd_cnt = 2)/100khzbeginlcd_cnt =0;lcd_clk = lcd_clk;endelselcd_cnt = lcd_cnt +1b1;endinitial begin lcd_clk =0; lcd_cnt =0; endendmodule5代码/* to display character */module lcd_charac(/module led(input lcd_clk,input sys_clk,input lcd_en,/1 is activedinput 1:0 y,/row 0-3input 2:0 x,/clunm 0-7input lr,/0/1input 7:0 data_disp,output reg lcd_rs,output reg lcd_rw,output reg lcd_en,inout 7:0 lcd_data,output regack);reg 7:0 lcd_data;reg 7:0r_buff;reg 7:0state;parameter idle=8b0000_0001,ddram=8b0000_0010,/drawing modew_addr=8b0000_0100,/row address ydummy=8b0000_1000,/ not really readingr_data=8b0001_0000,/reading high byte dataw0_data=8b0010_0000,w1_data=8b0100_0000,stop=8b1000_0000;reg flag,cnt;reg link_data;reg flag0;/* lcd_data */assign lcd_data = link_data ? lcd_data :8hzz;/* lcd_en */always (posedge sys_clk) beginif(flag = 1b1)lcd_en = lcd_clk;elselcd_en =1bz;end/* lcd_rw */always (posedge lcd_clk) beginif(flag0)if(state = dummy | state = r_data)lcd_rw =1b1;elselcd_rw =1b0;elselcd_rw =1bz;end/* lcd_rs */always (posedge lcd_clk) beginif(flag0)if(state = w0_data | state = w1_data | state = dummy | state = r_data)lcd_rs =1b1;elselcd_rs =1b0;elselcd_rs =1bz;end/*-main state transter-*/always (posedge lcd_clk) begincase (state)idle: beginif(lcd_en)begin state= ddram; link_data =1; flag0=1b1; endelsebegin s

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

最新文档

评论

0/150

提交评论