实验4:环形移位寄存器.ppt_第1页
实验4:环形移位寄存器.ppt_第2页
实验4:环形移位寄存器.ppt_第3页
实验4:环形移位寄存器.ppt_第4页
实验4:环形移位寄存器.ppt_第5页
已阅读5页,还剩3页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

GEXIN EDAPRO/240H 超级万能实验仪,实验四: 环形移位寄存器,一、实验前准备,本实验例子使用独立扩展下载板EP1K10_30_50_100QC208(芯片为EP1K100QC208)。EDAPRO/240H实验仪主板的VCCINT跳线器右跳设定为3.3V; EDAPRO/240H实验仪主板的VCCIO跳线器组中“VCCIO3.3V”应短接,其余VCCIO均断开;独立扩展下载板“EP1K10_30_50_100QC208”的VCCINT跳线器组设定为2.5V;独立扩展下载板“EP1K10_30_50_100QC208”的VCCIO跳线器组设定为3.3V。,二、实验目的,一、实验目的 熟悉使用VHDL语言设计时序逻辑电路; 体会元件例化语句在层次化设计中的应用。,二、设计任务及要求 设计一个8位环形右移移位寄存器; 要求数据输入端为DIN,移位时钟为CLK,复位信号为RST,寄存器输出为Q0Q7,Q7兼作移位输出端。,library ieee; use ieee.std_logic_1164.all; entity shift_circuit is port(din:in std_logic_vector( 7 downto 0); clk:in std_logic; reset:in std_logic; load:in std_logic; q:out std_logic_vector(7 downto 0) ); end entity shift_circuit; architecture behave of shift_circuit is -signal m :std_logic_vector(7 downto 0); -signal m_bit: std_logic; begin process(clk,reset),-带复位及置数启动能力的环形移位寄存器,variable m :std_logic_vector(7 downto 0); variable m_bit: std_logic; begin if reset=1 then m:=“00000000“; else if(clkevent and clk=1) then if load=1 then m:=din; else m_bit:=m(7); m(7 downto 1):=m(6 downto 0 ); m(0):=m_bit; end if; end i

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论