《数字电路》课程大纲_第1页
《数字电路》课程大纲_第2页
《数字电路》课程大纲_第3页
《数字电路》课程大纲_第4页
《数字电路》课程大纲_第5页
已阅读5页,还剩51页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

数字电路课程大纲1数制与编码l 数制和编码的基本概念,不同数制之间的转换l 二进制数的运算2逻辑代数基础l 逻辑代数基本概念,逻辑函数的表示方法l 逻辑函数的化简及实现3门电路l TTL门电路工作原理与输入输出特性l OC门、三态门(TS)原理与应用,MOS门电路4组合电路l 组合逻辑电路的分析与设计方法l 典型中、小规模集成组合电路原理与应用5触发器l 触发器基本原理与应用l 不同触发器类型之间的转换6时序逻辑电路l 时序逻辑电路的概念l 同步时序电路的分析与设计l 集成计数器和移位寄存器的设计与应用l 异步时序电路的基本概念7算术运算电路l 数值比较器、加法电路、乘法电路原理与应用8存储器与可编程逻辑器件l RAM、ROM的基本原理和扩展l 可编程逻辑器件的基本原理和应用9模数和数模转换l A/D、D/A转换的基本概念、基本原理与典型转换的方法基础:逻辑代数、门电路组合电路时序电路脉冲电路数模模数工具:触发器l 课程结构l 指导思想54第一单元 门电路及其应用Ec(3V)F-Ec(-12V)R218kA R11.5kRc1kcbe一、分立元件门电路1三极管(开关工作)截止:Vbe 0.7V(0)饱和:Vbe 0.7V ib Ibs=Ics/2. 三极管倒相器VA=0时,Vbe = 0-(0+EC)R1/(R1+ R2) = -0.92V0 T截止VA=3V时,Vbe=VA-(VA+EC)R1/(R1+ R2) =1.8V0.7Vb=0.7 钳位Ibs=Ics/=(3-0.3)/30*1k=0.09mAib =iR1-iR2=(3-0.7)/1.5k-(0.7+12)/18k=1.09mAIbs T饱和二、集成门电路(TTL为主)1原理2基本特性 电压传输特性:关门电平开门电平 输入特性: 关门电阻开门电阻输出特性: 高电平输出低电平输出图3-16 与非门输入端接负载电阻时的输入负载特性(a) 输入电路 (b) 输入特性l 门电路的驱动能力输出为低电平时:最大允许灌电流为IOLmax输出低电平扇出系数为:NOLIOLmax/IILmax输出为高电平时:最大允许拉电流为IOHmax输出高电平扇出系数为:NOHIOHmax/IIHmaxABA+BAB+A+B3基本逻辑单元与运算逻辑单元:多发射极三极管或运算逻辑单元:三极管对例1-1(97-3-3)写出下面电路真值表及逻辑表达式。解:列真值表当B=1时,T2截止,F=0当B=0时,A=0T2截止,F=0真值表ABF000010101110F=AB例1-2(2000-1-1)写出如图所示电路的逻辑表达式解:可以把AB,CD看成逻辑变量F+EcC DABRcRbT只有CD=1,T才有导通的可能ABCDF001010101111要点:1 注意三极管的数量 2 注意输入电阻的接法三、特殊门电路1OC门集电极开路:功能:线与2 TS三态门: 功能:总线例1-3(2003-八-10)简述OC门电路的特点、功能及用途。(3分)ABCD1324R1 0.5KR210KR34KF例1-4(1998-1-1)F=ABCDBC某人用TTL与非门设计了下图所示逻辑电路,用以完成 ,此电路有无问题?若有问题,则应作那些修改?(若修改则要求保持原电路的结构形式)R12K, R2R3 b1b0时,F1=1;当a1a0 = b1b0时,F2 =1;当a1a0 b1b0时,F3 =1。(10分)a1a0b1b0F3F2F10000110101011110000000010011010100110100100010001101010001001011001100110101000100011110解:两位比较器真值表:例2-13(2000,三)某大厅有一盏灯和分布在不同位置的四个开关(A、B、C、D)。试利用四选一数据选择器或TTL与非门为大厅设计一个电灯开关控制逻辑电路,使得人们可以在大厅的任何一个位置控制灯的亮或灭。例如:可以用A开关打开,然后用B(或C、A、D)开关熄灭。(12分) 真值表答:设F=1时,电灯亮 根据题义的真值表其输出逻辑函数为:F=ABCDABCDFABCDF00000000110010100110010010101001100011111000110010101001011111000110111110111110某科研机构有一个重要实验室,其入口处有一自动控制电路如图四所示,图中DE为控制端,令上午、下午、晚上其取值分别为01、10、11。现有三组科研人员(G1、G2、G3)在实验室做实验,A、B、C为对应G1、G2、G3的三个识别器,其上机的优先顺序是,上午为G1、G2、G3,下午为G2、G3、G1,晚上为G3、G1、G2;电路的输出F1、F2、F3为1时分别表示G1、G2、G3能上机同时打开实验室大门。试分别用38线译码器和4选1数据选择器来完成电路设计,辅助门电路任选。(15分)控制电路ABCDEF1F2F3 图四第三单元 时序逻辑电路Q QS RQ Q 一、触发器&1基本RS触发器S R&Q QS CP R&SdRd2同步(时钟)触发器Q QS Cl RSdRdS CP R2.1 同步RS触发器特性方程:01110D02.2 同步D触发器特性方程:Qn+1=DQ QD Cl SdRdD CP 状态(转换真值)表 激励表QnDQn+1QnQn+1D 0000000110111001001111112.3 同步JK触发器 2.4 同步T触发器Q QT Cl SdRdT CP Q QJ Cl KSdRdJ CP K 3触发器的触发类型3.1 基本同步:缺点有空翻现象3.2主从: 缺点有一次变化,下降沿触发3.3维阻: 上升沿触发3.4 边沿: 正或负边沿触发转换逻辑给定触发器QQ4不同触发器的转换4.1 代数法(对比特性方程)例3-1(2001,一、1)将D触发器转换成JK触发器,画出逻辑电路图。源:目的:求源触发器输入:例3-2(2002,一、5)试设计一个转换电路,当X=1时,将JK触发器转换成D触发器;当X=0时,将JK触发器转换成T触发器,画出逻辑电路图。(6分)Q QJ KD1&T&X1CP答:4.2 卡诺图法步骤:激励表卡诺图化简求转换逻辑电路图QnQn+1目的触发器激励(JK)源触发器激励(D)注意:触发器输出波形图的触发沿二、时序逻辑电路1时序电路的分析逻辑电路图激励、输出函数状态转换真值表状态图结论例3-3(1997,六)画出下列图时序电路的状态转换图和时序图。(10分)CPD1 Q1Q1Z&D2 Q2Q2D1=Q1n Q2n D2=Q1n Z = Q2n CP00011011/1CP/1CP/0/0Q1n+1=Q1n Q2n Q2n+1=Q1n Q2nQ1nQ2n+1Q1n+1Z00010011001000CPQ1Q2Z1CP11101CP例3-4(1998,三)分析下图所示电路1 写出触发器的状态方程和电路的输出方程2 在CP,x信号波形作用下,试画出Q1,Q2,Z的波形(设初态Q1Q2=00)3 如果改用D触发器实现, 电路应如何连接。xQ1nQ2nZ=y1y2Q1n+1Q2n+100000100010011010010101111001001111101011011000001110001Q1n+1=Q1n Q2n+1=y2Q2n Z= y1解:状态转换表 D1=Q1n D2=y2Q2n D1 Q1D2 Q2D3 Q3D4 Q4CP例3-5(2000,一、3)移位寄存器型计数器如图所示, 若起始状态为Q1Q2Q3Q4=0001,请写出从Q4输出一个周期的m序列。00011000010000101001110001101011 00010011011111111110110110100101解:D1=Q3nQ4n例3-6(2001,1、5)画出下图电路CP和输出Q1Q2的波形图,说明Q1和Q2的关系。CPQ1CP2Q2答: Q1,Q2相位相差90度D Q1 Q1D Q2 Q2CP例3-7(2002,二)、试分析下图电路,画状态转换图,并说明其工作原理。其中:Q2Q1为状态输出控制某程控放大器的增益,电路的三个状态(Q2Q1=00、01、10)分别对应10、20、40放大倍数,(11)状态为禁止态,X为控制输入,Y1、Y2为溢出指示。(15分)CPJ1 Q1 K1 Q1J2 Q2 K2 Q2=1X&1&1Y1Y2例3-8(2003,十一、1)分析题(共15分)试分析如图由移位寄存器组成的序列产生器,分别写出dR、Q2输出的周期序列。1&1DFF2C1dRCPQ2Q21DFF0C11DFF1C1Q0Q0Q1Q12时序电路的设计2.1 计数器设计模=计数长度=状态数要求:各种进制计数器 用触发器设计 用集成计数器设计74161,74290例3-9(2001,一、4)试用74161设计模7同步加法计数器。 74161功能表Q3 Q2 Q1 Q0 CTT CO CTP 74161 CP LD CR D3 D2 D1 D0&1CP0 0 0 0CPCRLDCTTCTP功能L异步清零HL置数HHLH保持HHHL保持HHHH计数例3-10(2002,一、6)试用两片74161设计一个模60加法计数器。(6分) 74161功能表Q3 Q2 Q1 Q0 CTT CO CTP 74161 CP LD CR D3 D2 D1 D0CPCTTCTP功能L异步清零HL置数HHLH保持HHHL保持HHHH计数用两片74161同步计数;59(111001)置0;或60(111010)清0例3-11(2003,十一、2)试分析如图计数器电路,在AB的控制下,可以实现几种模长的计数。计数器的模分别是多少?Q3 Q2 Q1 Q0 CTT CO CTP 74161 CP LD CR D3 D2 D1 D04选1D3D2D1D0&0&YS1 S0A B1 0 0 0 01CP例3-12(2003,十二)、试为一数据采集系统设计一个时序控制电路,其输入有时钟CP和控制启动信号Ctrl,输出为CLK,要求每来一个Ctrl负脉冲,经过3个CP周期延迟后,启动电路输出8个周期CLK(即16个CP周期),然后停止,等待下一次启动。设计时使用的器件不限,可用任何器件和集成电路。(15分)1234567891011121314151617181920CPCtrlCLK8个CLK周期3个CP周期2.2计数器应用 序列产生器类例3-13(1997,七)试设计一个装置以产生周期二进制序列01011的输出。(提示:序列“01011”计五位,故可设计一个模5计数器和译码器来实现)(15)例3-14(2001,五) 利用JK触发器和门电路设计一个时序电路,用来控制红、绿两个发光二极管,要求红色管亮三个时钟周期,绿色管亮两个时钟周期,波形如图所示。(20分)答:方法一(计数器组合电路) 1) 用下降沿触发JK触发器设计一个模5计数器,令输出Z=0时红灯亮,Z=1时绿灯亮2) 状态图3) 状态转换真值表Q3nQ2nQ1nQ3n+1Q2n+1Q1n+1Z000001100010011/0/0/0/1/100000100010100010011001110011000001111011101XXXX4) 化简得:Q2nQ1nQ3n 000111100001111000010010110xxx0xxXQ2nQ1nQ3n 000111100001111001001001010xxx1xxxJ1 Q1K1 Q1J2 Q2K2 Q2J3 Q3K3 Q3&11ZCP5) 逻辑电路图6)检验自启动000001110011111方法二按如图所示状态图设计计数器,由计数器的最高位(Q3)输出即可。1) 状态转换真值表Q3nQ2nQ1nQ3n+1Q2n+1Q1n+1000001001011011111111110110000011100001XXX 2)卡诺图Q2nQ1nQ3n00011110000111100001x011x1xx10xx10Q2nQ1nQ3n000111100111x1xx003)化简得:Q3 输出接红灯Q3 输出接绿灯 脉冲分配器设计例3-15(2000,四)脉冲分配电路一般由计数器和译码电路组成,试用D触发器和与非门设计如图所示波形的脉冲分配器电路。(15分)CPF1F2F3F4F5方法一:模5计数器+译码电路,5个输出F1F5需要与上CP再输出方法二:用串行移位的方法设计CPD1 Q1C1SdRdD2 Q2C1SdRdD3 Q3C1SdRdD4 Q4C1SdRdD5 Q5C1SdRdVcc CP&F1 F2 F3 F4 F5总 结:2.3 一般时序电路设计原始状态设定原始状态图状态化简状态转换真值表化简,求激励和输出的最简表达式逻辑电路图检验自启动 序列检测器例3-16(1998,一、5)画出101序列检测器的状态转换图。(101序列可以重叠)输入X 0 1 0 1 0 1 1 0 1输出Z 0 0 0 1 0 1 0 0 1如:S0S1S21/10/00/01/01/00/0解:设输入两个以上0为S0状态起始状态(无用状态)在S0状态输入一个1为S1状态,输出为0在S1状态输入一个0为S2(10)状态输出为0在S2状态输入一个1(101),则为S1状态输出为1S0S1S21/10/01/01/00/00/0例3-17(2001,一、2)试画出111序列检测器的状态转换图,当连续输入三个1时输出为1,否则输出为0。解:设输入0为S0状态起始状态输入一个1记为S1状态,输出为0输入两个1为S2(11)状态,输出为0输入三个1(111),则为S2状态,输出为1例3-18(2002,一、2)两路同频方波信号,其相位差恒为90度,如图所示。试设计一个检测电路判断A、B相位情况,当A超前B 90度时,如图1-a所示,输出F为1;当B超前A 90度时,如图1-b所示,输出F为0。(5分

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论