通信工程课程设计-2FSK调制与解调系统设计.doc_第1页
通信工程课程设计-2FSK调制与解调系统设计.doc_第2页
通信工程课程设计-2FSK调制与解调系统设计.doc_第3页
通信工程课程设计-2FSK调制与解调系统设计.doc_第4页
通信工程课程设计-2FSK调制与解调系统设计.doc_第5页
已阅读5页,还剩26页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

通 信 工 程 专 业 课 程 设 计 shaanxi university of technology通信工程专业课程设计题 目 2fsk 调 制 与 解 调 系 统 设 计 学生姓名 学号 所在院(系) 陕 西 理 工 学 院 电 信 工 程 系 专业班级 指导教师 完成地点 陕 西 理 工 学 院 电 信 工 程 系 实 验 室2011年 3 月 11 日28通信工程专业课程设计任务书院(系) 电 信 工 程 系 专业班级 学生姓名 一、课程设计题目 2fsk 调 制 与 解 调 系 统 设 计 二、课程设计工作自 2011 年 月 日 起至 2011 年 月 日止三、课程设计进行地点: 电 信 工 程 系 实 验 室 四、课程设计的内容要求: 1、查资料弄清楚2fsk 调 制 与 解 调 系 统 的 原理; 2、设计2fsk调制与解调系统; 3、在max+plus软件平台上实现2fsk信号的调制与解调与仿真; 4、选用适当可编程逻辑器件进行硬件实现; 5、完成课设报告(含原理、组成框图、原理图、调试仿真步骤等)。 进度安排: (1)方案准备及比较 (2)方案确定及编程 (3)程序调试及仿真 (4)硬件测试及写报告总结 指 导 教 师 系(教 研 室) 通 信 工 程 教 研 室 接受任务开始执行日期 学生签名 目 录摘要- 1 -abstract- 2 -1. 绪论- 3 -1.1 课题背景- 3 -1.2 课题的主要研究工作- 3 -2. 方案论证- 4 -2.1 2fsk调制解调的基本原理- 4 -2.1.1 二进制频移键控调制器与解调器的原理- 4 -2.1.2 2fsk信号的表达式和波形图- 4 -2.2 方案的比较与选择- 5 -2.2.1 2fsk调制方案的比较与选择- 5 -2.2.2 2fsk调制方案的选择- 6 -2.2.3 2fsk信号解调方案的比较与选择- 7 -2.2.4 2fsk解调方案的选择- 10 -3. 电路设计及仿真结果分析- 11 -3.1 2fsk信号调制系统的设计- 11 -3.1.1 分频器- 11 -3.1.2 伪随机序列发生器- 12 -3.1.3 数据选择器- 14 -3.1.4 2fsk调制系统的仿真及结果分析- 14 -3.2 2fsk信号解调系统的设计- 15 -3.2.1 时钟计数器c- 16 -3.2.2 计数器q- 16 -3.2.3 判决器d- 17 -3.2.4 2fsk解调系统的仿真及结果分析- 17 -3.3 调制解调系统整体仿真及结果分析- 18 -3.4 2fsk调制解调系统在示波器上的显示- 19 -结论22致谢23参考文献24附录252fsk调制与解调系统设计(陕西理工学院通信工程系0,陕西,汉中 723003)指导老师: 摘 要fsk是数字调制的一种方法,其原理是利用数字信号的离散取值特点通过开关对载波的频率进行键控,所产生的信号称为fsk信号。该信号使得数字信号可以在带通信道中进行传输。本次课程设计就是在eda实验板上用vhdl语言来实现fsk的调制解调系统。采用键控法对载波进行调制,用过零检测法对调制信号进行解调。用4级移位寄存器产生伪随机序列作为调制信号。仿真成功后下载到实验板上,通过示波器分别观察调制信号和已调波;调制信号和解调信号,与波形仿真结果相同,但由于噪声的影响,使得示波器的波形有毛刺。关键词fsk调制解调,vhdl,键控法,过零检测法中图分类号 tn761.2 文献标志码 afsk modulation and demodulation(grade08,clas2,major of communication engineering,dept. of e.i.of shaanxi university of technology, hanzhong 723003,china)tutor:abstractfsk is a method of digital modulation, the principle is the use of digital signal characteristics of discrete values by switching on the carrier frequency shift keying, the resulting signal as fsk signals. this signal allows the digital signal can be transmitted with a communication channel. the course design is used in the eda vhdl language test board to achieve fsk modulation and demodulation system. by keying of the carrier modulation, zero-detection method used to demodulate the modulated signals. shift register with four pseudo-random sequence generated as the modulation signal. simulation successfully downloaded to the experimental board, were observed by the oscilloscope signal and the modulated wave modulation; modulation signal and demodulated signal, and waveform simulation results are the same, but because of noise, making the oscilloscope waveform has glitches.key words fsk modulation and demodulation;vhdl;shift keying;zero-crossing detection method1. 绪论1.1 课题背景数字频率调制又称频移键控(fskfrequency shift keying),二进制频移键控记作2fsk。数字频移键控是用载波的频率来传送数字消息,即用所传送的数字消息控制载波的频率。 2fsk信号便是符号“1”对应于载频,而符号“0”对应于载频(与不同的另一载频)的已调波形,而且与之间的改变是瞬间完成的。从原理上讲,数字调频可 用模拟调频法来实现,也可用键控法来实现。模拟调频法是利用一个矩形脉冲序列对一个载波进行调频,是频移键控通信方式早期采用的实现方法。2fsk键控法 则是利用受矩形脉冲序列控制的开关电路对两个不同的独立频率源进行选通。键控法的特点是转换速度快、波形好、稳定度高且易于实现,故应用广泛。随着电子计算机的普及,数据通信技术正在迅速发展。数字频率调制是数据通信中常见的一种调制方式。频移键控(fsk)方法简单,易于实现,并且解调不须恢复本地载波,可以异步传输,抗噪声和抗衰落性能也较强。因此,fsk调制技术在通信行业得到了广泛地应用,并且主要适用于用于低、中速数据传输。由于fsk调制解调原理相对比较简单,作为数字通信原理的入门学,理解fsk后可以容易理解其他更复杂的调制系统,为以后的进一步发展打下基础。1.2 课题的主要研究工作课题主要研究2fsk信号的调制解调系统的实现,完成对数字信号的调制及解调,使系统简单,并要调制解调过程容易实现,能正确的完成调制解调任务。2. 方案论证2.1 2fsk调制解调的基本原理2.1.1 二进制频移键控调制器与解调器的原理用基带信号对高频载波的瞬时频率进行控制的调制方式叫做调频,在数字调制系统中则称为频移键控(fsk)。频移键控在数字通信中是使用较早的一种调制方式,这种方式实现起来比较容易,抗干扰和抗衰落的性能也较强。其缺点是占用频带较宽,频带利用串不够高,因此,额移键控主要应用于低、中速数据的传输,以及衰落信道与频带较宽的信道。2.1.2 2fsk信号的表达式和波形图频移键控是利用载波的频率变化来传递数字信息。在2fsk中,载波的频率随二进制基带信号在和两个频率点间变化。故其表达式为 式2.1假设二进制序列s(t)为l01001时,则2fsk信号的波形如图2.1.2所示图2.1 2fsk信号的波形从图中可以看出,一个2fsk信号可以看成是两个不同载频的2ask信号的叠加。因此,2fsk信号的时域表达式又可写成式中:g(t)为单个矩形脉冲,脉宽为;是的反码,若=1,则=0;若=0,则=1,于是和分别是第n个信号码元的初相位。在移频键控中,和不携带信息,通常可令和为零。2.1.3 2fsk信号的带宽由式(2.1)可知,2fsk信号可以看成是两个不同载频的振幅键控信号之和,因此它的频带宽度是两倍数字基带信号带宽(b)与之和,即:2.2 方案的比较与选择2.2.1 2fsk调制方案的比较与选择2fsk信号产生的方法主要有两种。一种可以采用模拟电路来实现(即直接调频法);另一种可以采用键控法来实现。2.2.1.1 直接调频法原理所谓直接调频法,就是用数字基带信号去控制一个振荡器的某种参数而达到改变振荡频率的目的。如图2.2所示模 拟调 频 器图2.2 直接调频法原理框图2.2.1.2 键控法原理该方法就是在二进制基带矩形脉冲序列的控制 下通过开关电路对两个不同的独立频率源进行选通,使其在每一个码元期间输出或两个载波之一。其原理如图1.2.2所示,它将产生二进制fsk信号。图中,数字信号控制两个独立振荡器。门电路(即开关电路)和按数字信号的变化规律通断。若门打开,则门关闭故输出为,反之则输出。这种方法的特点是转换速度快、波形好,而且频率稳定度可以做得很高。频率键控法还可以借助数字电路来实现。以上两种fsk信号的调制方法的差异在于:由直接调频法产生的2fsk信号在相邻码元之间的相位是连续变化的。(这一类特殊的fsk,称为连续相位fsk(continous-phase fsk,cpfsk)而键控法产生的2fsk信号,是由电子开关在两个独立的频率源之间转换形成,故相邻码元之间的相位不一定连续。f1门电路1门电路2相加倒相f2基带信号输入图2.3 键控法原理框图2.2.2 2fsk调制方案的选择我们组选择采用键控法来产生2fsk信号,主要基于以下3个原因:1:max+plus所用的实验板以数字信号为主,而键控法用vhdl语言和逻辑电路很容易实现。2:直接调频法产生的移频键控信号虽易于实现,但由于是同一振荡器产生两个不同频率的信号,在频率变换的过渡点相位是连续的,其频率稳定度较差。而且这种方法产生的fsk信号频移不能太大,否则振荡不稳,甚至停振,因而实际应用范围不广,仅适用于低速传输系统。3:频率键控法是用数字矩形脉冲控制电子开关,使电子开关在两个独立的振荡器之间进行转换,从而在输出端得到不同频率的已调信号。由于产生和载频是由两个独立的振荡器实现,则输出的2fsk信号的相位是不连续的。这种方法的特点是转换速度快,波形好,频率稳定度高,电路不甚复杂,在实用中可以用一个频率合成器代替两个独立的振荡器,再经分频链,进行不同的分频,也可得到2fsk信号。2.2.3 2fsk信号解调方案的比较与选择数字调频信号的解调方法很多,如相干检测法、包络检波法、过零检测法、差分检测法等。下面就相干检测法、非相干检测法、过零检测法和差分检测法进行介绍。2.2.3.1 滤波+包络检波法2fsk信号的包络检波法解调方框图如图2.2.3所示,其可视为由两路2ask解调电路组成。这里,两个带通滤波器(带宽相同,皆为相应的2ask信号带宽;中心频率不同,分别为、起分路作用,用以分开两路2ask信号,上支路对应,下支路对应,经包络检测后分别取出它们的包络及;抽样判决器起比较器作用,把两路包络信号同时送到抽样判决器进行比较,从而判决输出基带数字信号。若上、下支路及的抽样值分别用表示,则抽样判决器的判决准则为图2.42fsk信号包络检波方框图2.2.3.2 相干检测法相干检测的具体解调电路是同步检波器,原理方框图如图2.2.3所示。图中两个带通滤波器的作用同于包络检波法,起分路作用。它们的输出分别与相应的同步相干载波相乘,再分别经低通滤波器滤掉二倍频信号,取出含基带数字信息的低频信号,抽样判决器在抽样脉冲到来时对两个低频信号的抽样值进行比较判决(判决规则同于包络检波法),即可还原出基带数字信号。图2.52fsk相干检测方框图2.2.3.3 过零检测法单位时间内信号经过零点的次数多少,可以用来衡量频率的高低。数字调频波的过零点数随不同载频而异,故检出过零点数可以得到关于频率的差异,这就是过零检测法的基本思想。过零检测法方框图及各点波形如图2.2.4所示。在图中,2fsk信号经限幅、微分、整流后形成与频率变化相对应的尖脉冲序列,这些尖脉冲的密集程度反映了信号的频率高低,尖脉冲的个数就是信号过零点数。把这些尖脉冲变换成较宽的矩形脉冲,以增大其直流分量,该直流分量的大小和信号频率的高低成正比。然后经低通滤波器取出此直流分量,这样就完成了频率幅度变换,从而根据直流分量幅度上的区别还原出数字信号“1”和“0”。图2.6过零检测法方框图及各点波形图2.2.3.4 差分检波法差分检波法的原理如图2.2.5所示,输入信号经接收滤波器滤除带外无用信号后被分成两路,一路直接送到乘法器(平衡调制器),另一路经时延送到乘法器,相乘后再经低通滤波器提取信号。解调的原理可作如下说明:设输入为,它与时延之波形的乘积为若用低通滤波器除去倍频分量,则其输出为可见,是角频率偏移的函数,但却不是一个简单的函数关系。现在我们是当地选择使则有=,故此有 当时或 当时若角频偏较小;1,则有 当时或 当时由此可见,当满足条件及1时,输出电压将与角频偏呈线性关系。这是鉴频特性所要求的。差分检波法基于输入信号与延迟的信号相比较,信道上的延迟失真,将同时影响相邻信号,故不影响最终的鉴频效果。实践表明,当延迟失真为0时,这种方法的检测性能不如普通鉴频法,但当有较严重延迟失真使,它的性能要比鉴频法优越。不过差分检波法的实现将要受条件的限制。带通低通图2.7 差分检波原理2.2.4 2fsk解调方案的选择由于过零检测法用vhdl语言实现相对容易,且对于数字信号来说,过零检测法较其他三种分析方法更简单,因此我们决定用过零检测法来实现fsk信号的解调。3. 电路设计及仿真结果分析3.1 2fsk信号调制系统的设计根据键控法调制的原理,需要一个时钟信号和两个载波和,以及一个数据选择器(这是调制的关键,其主要作用是在“1”时选通一个载波,在“0”时让另一路载波通过)。对于数字基带信号(也就是调制信号),我们可以用伪随机序列发生器来产生。2fsk的原理框图如图3.1.1所示。其中,伪随机序列发生器可以看作是一个基带信号源,在实际应用中,可以由具体信号源来替代。11.8khz时钟信号经过分频器产生2950hz、1475hz和368.75hz三个频率信号,368.75hz信号用来产生368.75kh的伪随机伪随机序列信号。2选1数据选择器由伪随机序列信号控制在2950hz和1475hz两个信号中选择一个输出。 2950hz 11.8khz 1475hz图3.1 2fsk信号调制系统框图3.1.1 分频器分频器是数字电路中最常用的电路之一,在fpga的设计中也是使用效率非常高的基本设计。基于fpga实现的分频电路一般有两种方法:一是使用fpga 芯片内部提供的锁相环电路,如 altera 提供的pll(phase locke loop),xilin提供的dll(delay locked loop);二是使用硬件描述语言,如vhdl、verilog hdl 等。使用锁相环电路有许多优点,如可以实现倍频;相位偏移;占空比可调等。但fpga 提供的锁相环个数极为有限,不能满足使用要求。因此使用硬件描述语言实现分频电路经常使用在数字电路设计中,消耗不多的逻辑单元就可以实现对时钟的操作,具有成本低、可编程等优点。此次实验中,我们小组就采用vhdl来实现分频器的功能。实现起来非常方便。输入分频器的程序,编译无误后,进行波形仿真,结果如图所示。将该模块封装,如图所示。为了方便使用,此次实验所做的分频器可以将clk时钟信号分别进行2分频,4分频,8分频,16分频和32分频,其中我们选用4分频信号作为载波,选用8分频信号作为载波,32分频用作伪随机序列发生器的时钟信号。图3.2 分频器仿真结果图从图中我们可以看到由clk信号分别分出了5种不同的频率,分别clk信号的1/2(div2)、1/4(div4)、1/8(div8)、1/16(div16)和1/32(div32),例如在div2中,每一个电平对应1个clk时钟周期,所以一个div2周期包含2个clk时钟周期,同理,div4的电平包含了4个clk时钟周期等等。图3.3 分频器的封装3.1.2 伪随机序列发生器伪随机码是数字通信中重要信码之一,常作为数字通信中的基带信号源,应用于干扰码、误码测试、扩频通信、保密通信等领域。伪随机序列又叫m序列,可由线性移位寄存器网络产生。该网络由n级串联的双态器件,移位脉冲产生器和模2加法器组成。伪随机序列的主要特点:1、线性移位寄存器的输出序列是一个周期序列。2、当初始状态是0状态时,线性移位寄存器的输出全0序列。3、级数相同的线性移位寄存器的输出序列和反馈逻辑有关。4、同一个线性移位寄存器的输出序列还和起始状态有关。5、对于级数为r的线性移位寄存器,当周期p时,改变移位寄存器初始状态只改变序列的初相。这样的序列称为最大长度序列或m序列,简称nrz。本设计中的m序列发生器是一种通过带有两个反馈抽头的4级反馈移位寄存器产生的一串“100110101111000”循环序列。实现电路见图3.4图3.4 m序列发生器电路图图3.5 m随机序列的封装图3.6 m随机序列的波形图3.1.3 数据选择器在数字信号的传输过程中,有时需要从一组输入数据中选出某一个来,这是就要用到一种叫做数据选择器(data selector,或称多路开关 maltiplexer)的逻辑电路。这一过程恰与数据分配器相反,在英文中数据分配器demultiplexer是用来对k路的数据选择与分配的操作。实现数据选择功能的逻辑电路称为数据选择器。数据选择是指经过选择,把多个通道的数据传送到唯一的公共数据通道上去,它的作用相当于多个输入的单刀多掷开关。数据选择器用来选择两个载波,一个是经过clk 4分频得到的载波,代表数字基带信号“1”,另一个是经clk 8分频得到的载波,代表数字基带信号“0”。图3.7 数据选择器仿真结果从图中可以看出,当m序列为高电平时,输出的是载波,低电平时,输出载波,与要求一致,该模块仿真正确。图3.8 2选1数据选择器的封装3.1.4 2fsk调制系统的仿真及结果分析根据图3.1,将分频器、m序列发生器、2选一数据选择器一一连接起来,就得到如图3.9所示的2fsk调制系统的整体电路。对该电路进行编译,正确之后进行波形仿真,就得到如图3.10所示的波形图。图中clk是时钟信号,nrz4是数字基带信号,nrz4_code是被nrz4调制后的信号,设定若数字基带信号是高电平时让f1输出,若为低电平则输出f2,观察可得在nrz4高电平的时候,输出的波形与f1相同,在nrz4低电平的时候,输出地波形与f2波形相同。所以输出波形表现为时密时疏,这就是2fsk调制系统完成的波形,仿真成功。图3.9 2fsk调制系统电路图3.10 2fsk调制系统的波形仿真3.2 2fsk信号解调系统的设计由过零点检测原理可以知道,fsk信号的过零点次数反映了原始信号的规律,所以只要某种方法能够分析出fsk信号的过零点次数,然后根据调制时原始信号和调制频率的关系(即当调制信号为“1”时,选通载波,当调制信号为“0”时,选通载波),就可以解调出原始信号。通过对调制信号、时钟信号、两个载波和以及2fsk调制信号的观察,我们发现对调制信号的任何一个码元,如果可以知道该载波的频率,就可以判断调制信号是“1”还是“0”。因此信号的解调框图如图3.11所示。因为fpga只能产生数字信号,所以假设图3.11中的调制信号fsk已经是经过数模转换的数字fsk信号。时钟信号控制信号fsk调制信号时钟计数器c计数器q判决器d基带信号图3.11 2fsk信号解调原理框图3.2.1 时钟计数器c由于要计算调制信号一个周期内的过零点次数,所以用时钟计数器来计算调制信号的周期,如果时钟频率与fsk调制信号频率:=1:11那么表示每11个clk时钟周期发送一个fsk调制信号,即当从0递增到10时,一个调制信号传输结束。在本次试验中,每32个clk时钟周期就发送一个fsk调制信号,所以在本程序里当q从0递增到31时,就是一个调制信号。3.2.2 计数器q由fsk调制原理可知fsk信号是由2种不同频率的载波来传递数字信号的,由于载波频率不同,所以在一个调制信号周期中包含的载波周期个数不同,又根据过零检测法原理,通过检测一个信号传输周期内的过零点次数来判断基带信号。由于上升沿的个数就相当于fsk信号过零点的个数,那么计数器的主要功能就是在调制信号的一个周期内,对信号x的上升沿进行计数。例如在此次试验中,当调制信号为“1”时,通过载波,为“0”时,通过载波,故在“1”时有载波的周期8个,“0”时有载波的周期4个。3.2.3 判决器d判决器的作用主要是在调制信号的一个周期内,对计数器m的值进行判决。判决门限值根据调制信号和基带信号的频率的比值决定。如clk时钟信号经4分频得到载波,而:=8:1,clk经8分频有,:=4:1,即表示在一个fsk调制信号码元中有8个周期的载波或者有载波 4个周期,则判决门限值x可以选择x=8也可以选择x=4。这样通过判决器就可以恢复出原始的基带信号。判决器的门限也可以选6或者7,好处是使得解调器有一定的容错能力,能更好的解调出基带信号。3.2.4 2fsk解调系统的仿真及结果分析解调系统是用vhdl语言直接实现的,所以不存在电路的连接问题,将程序输入后,进行编译,无误后建立波形文件,加入管脚,进行波形仿真。图3.12 2fsk解调系统电路图3.13 2fsk解调系统波形仿真图仿真结果如图3.13所示。从图中可以看出输入调制信号in和输出解调信号out之间存在着一个周期的时延。3.3 调制解调系统整体仿真及结果分析将调制与解调两个模块连接起来就构成了2fsk信号调制解调系统,见图3.16,进行仿真得到如图的结果。图3.14 2fsk信号调制解调信号波形仿真图中数字基带信号为“nrz4”调制信号输出为“tz”解调信号输出为“jiet”观察波形图可知解调信号与数字基带信号相同,且延迟数字基带信号32个clk时钟周期,即一个伪随机序列周期。整个系统的仿真结果与预计的波形无较大出入,可以断定这个系统的工作是正常的,接下来可以将程序烧到eda实验板上,观察波形,是否与仿真结果一致。图3.15 2fsk调制解调波形图放大后这是调制解调系统的仿真图放大后的结果,1是计数器q,2是时钟计数器c,3是解调信号的波形,从图中可以看到,在4的时候,解调信号由低电平变为高电平,这是因为在编写解调程序时,设置当计数器q大于等于6时,输出为高电平,当小于6时,输出为低电平。因此,当计数器q发现已满足高电平条件, 就设置为高电平了,在5时,发现计数器q满足低电平条件,所以将其置为低电平。图3.16 2fsk调制解调系统整体电路3.4 2fsk调制解调系统在示波器上的显示图3.16 示波器显示的调制信号的结果从图中可以明显的分辨出有两种不同频率的载波。可见示波器的波形与图3.10相似,说明程序正确,在eda实验板上烧制成功。图3.17 示波器显示的调制信号放大的结果从以上两幅图中可以看出输出的波形都有毛刺,这是由于信号在fpga器件中通过逻辑单元连线时,一定存在延时。延时的大小不仅和连线的长短和逻辑单元的数目有关,而且也和器件的制造工艺、工作环境等有关。因此,信号在器件中传输的时候,所需要的时间是不能精确估计的,当多路信号同时发生跳变的瞬间,就产生了“竞争冒险”。这时,往往会出现一些不正确的尖峰信 号,这些尖峰信号就是“毛刺”。另外,由于fpga以及其它的cpld器件内部的分布电容和电感对电路中的毛刺基本没有什么过滤作用,因此这些毛刺信号就 会被“保留”并传递到后一级,从而使得毛刺问题更加突出。为了消除这些毛刺,若使用逻辑分析仪器,一般来说,使用状态采集的方法,有些在定时方式下采集到的毛刺,就看不到了。开始时刻图3.18 数字基带信号和解调信号的对比从图中可以看出解调信号相对数字基带信号延迟了一段时间。从图中的开始时刻起,两个波形的形状相同。3.5 本章小结这一章主要对2fsk系统的调制解调系统的设计与仿真进行了介绍,分别对调制系统和解调系统的输出波形进行了对比,结果与预料的一致,但是有时延。尽管实验成功了,但是还有一些不足之处,比如要得到真正的2fsk信号波形还要设计正弦波发生器,再次要外加数模转换电路,然后才能连接示波器,这样就可以得到载波是正弦波的2fsk信号。结论本次课程设计,我完成了基于vhdl语言的2fsk调制解调系统的设计,虽然按要求完成了基本的功能要求,但是还是没有预期的那么完美。在学习和设计的过程中,我也遇到了不少的问题,第一:从开始对调制与解调系统的设计开始,始终无法确定要用哪种方式去实现解调系统的设计,最后在浏览的大部分网页上发现过零检测法是vhdl里面最常用的也是最容易实现的解调方式,因此我们组才决定采用过零检测法实现解调功能。第二:在编写解调系统程序的时候,发现我们程序编译没有错误,但是结果就是与预期的不同,只能一条一条去检查,到底程序哪里有问题,最后发现是由于if语句的逻辑错误使得程序虽然通过编译但却无法正常工作。第三:2fsk信号的波形是正弦波,但是只使用eda实验板得到的结果是矩形脉冲,所以为了要实现正弦波要做一个正弦波发生器(vhdl)实现,然后外接数模转换电路,这样就可以得到2fsk信号的正弦波。课程设计不仅是对前面所学知识的一种检验,而且也是对自己能力的一种提高。下面我对整个课程设计的过程做一下简单的总结。第一,查资料是做课程设计的前期准备工作,好的开端就相当于成功了一半,到图书馆或上网找相关资料虽说是比较原始的方式,但也有可取之处的。不管通过哪种方式查的资料都是有利用价值的,要一一记录下来以备后用。第二,通过上面的过程,已经积累了不少资料,对所给的课题也大概有了一些了解,这一步就是在这样一个基础上,综合已有的资料来更透彻的分析题目。第三,有了研究方向,就应该动手实现了。其实以前的三步都是为这一步作的铺垫。由于我们这次课设的主要目标是对eda的熟练掌握,所以我们没有再外接数模转换电路。致谢在这两周多的时间里,我们组能完成老师布置的任务,也不是靠一个人可以做成功的,如果没有导师的督促指导,以及一起研究的同学们的支持,想要完成这个设计是难以想象的。因此,感谢在这课程设计中间给过我们组指导的老师和同学们,没有你们的帮助,我们的完成情况可能是另一番样子。衷心的谢谢你们。参考文献1 樊昌信,曹丽娜. 通信原理(第六版)t. 北京:国防工业出版社,1701852 张新伟,郑建宏. 一种2 fs解调器的vhdl实现. 2007年11期40卷,78803 侯伯民,尹亚军,张春晶. 基于cpld的fsk信号发生器的设计. 2004年2期3卷,14附录2fsk调制解调系统源程序分频器源程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity div248 isport(clk:in std_logic;div2:out std_logic; div4:out std_logic; div8:out std_logic; div16:out std_logic; div32:out std_logic);end div248;architecture one of div248 is signal cnt:std_logic_vector(4 downto 0);beginprocess(clk)beginif clkevent and cl

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

最新文档

评论

0/150

提交评论