计算机组成原理课件设计(段萍萍)_第1页
计算机组成原理课件设计(段萍萍)_第2页
计算机组成原理课件设计(段萍萍)_第3页
计算机组成原理课件设计(段萍萍)_第4页
计算机组成原理课件设计(段萍萍)_第5页
已阅读5页,还剩8页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃蚇艿葿蚅袂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃薃薆螀莂薂螈肅芈薂袁袈膄薁薀肄肀膇蚃袇羆膆螅肂芄芆蒄袅膀芅薇肀肆芄虿袃肂芃袁蚆莁节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈芄莈蚀螁膀莇螂羆膅莆薂蝿肁莅蚄肅羇莄螇袇芆莄蒆肃膂莃薈袆肈蒂蚁肁羄蒁螃袄芃蒀蒃计算机组成原理课件设计段萍萍网络教育学院继续教育学院毕业设计(论文)题目计算机组成原理课件设计函授站学习中心株洲计算机应用技术专业2010级(秋)层次专科学生姓名段萍萍指导老师武汉理工大学毕业论文摘要关键词微指令;机器指令;二进制武汉理工大学毕业论文目录一、设计的目的及内容11、课程设计目的12、设计内容和要求1二、设计原理11、基本模型机的设计与实现12、带移位运算的模型机的设计与实现3三、微程序流程图41、基本模型机的设计与实现42、带移位运算的模型机的设计与实现5四、二进制微代码表的设计61、基本模型机的设计与实现(实现减法运算)62、带移位运算的模型机的设计与实现7五、机器指令程序设计81、基本模型机的设计与实现82、带移位运算的模型机的设计与实现8六、线路连接图91、基本模型机的设计与实现9武汉理工大学毕业论文2、带移位运算的模型机的设计与实现9七、程序设计结果及程序流程说明101、基本模型机的设计与实现(实现减法运算)102、带移位运算的模型机的设计与实现13参考文献18武汉理工大学毕业论文计算机组成原理课件设计一、设计的目的及内容1、课程设计目的通过课程设计,使学生将掌握的计算机组成基本理论应用于实践中,在实际操作中加深对计算机各部件的组成和工作原理的理解,掌握微程序计算机中指令和微指令的编码方法,深入理解机器指令在计算机中的运行过程。2、设计内容和要求1、内容概括要求在一周的实验中,设计实现一个简单的模型机,该模型机包含若干条简单的计算机指令,其中至少包括输入、输出指令,存储器读写指令,寄存器访问指令,运算指令,程序控制指令。我们须根据要求自行设计出这些机器指令对应的微指令代码,并将其存放于控制存储器,并利用机器指令设计一段简单机器指令程序。将实验设备通过串口连接计算机,通过联机软件将机器指令程序和编写的微指令程序存入主存中,并运行此段程序,通过联机软件显示和观察该段程序的运行,验证编写的指令和微指令的执行情况是否符合设计要求,并对程序运行结果的正、误分析其原因。2、课程设计准备(1)分析实验模型机CPU结构(2)分析给出的机器指令的功能(3)在CPU模型图上画出每条指令的指令执行流程,并分析相应的微程序控制信号序列,理解各条微指令的编码意义。3、课程设计具体内容(1)安要求在模型机上接好线路(2)分析设计基本机器指令的微程序(3)手工或通过串口连接计算机输入微程序(4)测试指令执行过程是否与要求相符(5)记录每条指令的执行流程(6)改写微程序完成指定的指令功能并实现多种寻址方式。(7)验证改写后指令的执行情况是否符合设计要求(8)记录实验运行结果二、设计原理1、基本模型机的设计与实现部件实验过程中,各部件单元的控制信号是人为模拟产生的,而本次实验将能在微程序控制下自动产生各部件单元控制信号,实现特定指令的功能。这里,计算机数据通路的控制将由微程序控制器来完成,CPU从内存中取出一条机器指令到指令执行结束的一个指令周期全部由微指令组成的序列来完成,即一条机器指令1武汉理工大学毕业论文对应一个微程序。本实验采用五条机器指令IN(输入)、ADD(二进制加法)、STA(存数)、OUT(输出)、JMP(无条件转移)。其中IN为单字长,其余为双字长指令。为了向RAM中装入程序和数据,检查写入是否正确,并能启动程序执行,还必须设计三个控制台操作微程序。启动程序拨动总清开关CLR后,控制台开关SWB、SWA置为“11”时,按START微动开关,即可转入到第01号“取址”微指令,启动程序运行。表11根据以上要求设计数据通路框图如下系统涉及到的微程序流程见图11,当拟定“取址”微指令时,该微指令的判别测试字段为P(1)测试。由于“取址”微指令是所有微程序都使用的公用微指令,因此P(1)的测试结果出现多路分支。本机用指令寄存器的前4位(IR7IR4)作为测试条件,出现5路分支,占用5个固定微地址单元。控制台操作为P(4)测试,它以控制台开关SWB、SWA作为测试条件,出现了3路分支,占用3个固定微地址单元。当分支微地址单元固定后,剩下的其他地方就可以一条微指令占用一个微地址单元随意填写。2武汉理工大学毕业论文2、带移位运算的模型机的设计与实现在基本模型机的基础上搭接移位控制电路,实现移位控制运算。实验中新增4条移位运算指令RL左环移、RLC带进位左环移、RR右环移、RRC带进位右环移,其指令格式如下操作码RR01010000RRC01100000RL01110000RLC10000000以上4条指令都为单字长8位。RR为将R0寄存器中的内容循环右移1位。RRC为将R0寄存器中的内容带进位右移1位,它将R0寄存器中的数据右边第1位移入进位,同时将进位寄存器的数移至R0寄存器的最左位。RL为将R0寄存器中的数据循环左移1位。3武汉理工大学毕业论文RLC为将R0寄存器中的数据带进位循环左移1位。为了向RAM中装入程序和数据,检查写入是否正确,并能启动程序执行,还设计了3个控制台操作微程序。存储器读操作KRD拨动总清开关CLR后,控制台开关SWB,SWA为“00”时,按START启动纽,可对RAM连续手动读操作。存储器写操作KWE拨动总清开关CLR后,控制台开关SWB,SWA置为“01”时,按动START启动纽可对RAM进行连续手动写入。启动程序拨动总清开关CLR后控制台开关SWB,SWA置为“11”时,按动启动键,即可转入到第01号“取址”微指令上述3条控制台指令用两个开关SWB,SWA的状态来设置,其定义如表6所示。实验数据通路框图入图12所示可忽略其中的R1和R2。微代码定义与上一个试验相同。三、微程序流程图1、基本模型机的设计与实现4武汉理工大学毕业论文2、带移位运算的模型机的设计与实现5武汉理工大学毕业论文四、二进制微代码表的设计1、基本模型机的设计与实现(实现减法运算)当全部微程序设计完毕后,应将每条微指令代码化,下表即为将微程序流程图按微指令格式转化而成的“二进制微代码表”。按照规定格式,将机器指令及表中微指令二进制表编辑成十六进制的如下格式文件。程序机器指令格式说明P0000XXXX6武汉理工大学毕业论文机器指令代码十六进制地址P0320P040B微指令格式说明微指令代码十六进制地址P0800P0501M0D018202M00108101M0E0FE000M0182ED01M0F15A000M0248C000M1092ED01M0304E000M1194ED01M0505B000M1217A000M0506A201M13018001M06019A61M14182000M070DE000M15010A07M08011000M1681D100M0983ED01M17100A07M0A87ED01M18118A06M0B8EED01M0C96ED012、带移位运算的模型机的设计与实现程序P0000P0720P0110P080EP0205P0930P0380P0A0EP0400P0B40P0560P0C00P0670P0540微程序M00018108M0D068A09M1900EO1AM0101ED82M0E070A08M0200C050M0F028201M1B070A01M0300E004M10001001M1C00D181M0400B005M1101ED83M0501A206M1201ED87M1E019801M06959A01M1900E01A7M1A00A01BM1D21881E武汉理工大学毕业论文M0700E00FM0S01ED8AM0901ED8CM0A00A00EM0B018001M0C00200DM1301ED99M1401EDGCM1531821DM1631821FM17318221M1F298820M20019801M21118822M22019801M23198824M24019801五、机器指令程序设计1、基本模型机的设计与实现本实验设计机器指令程序如下地址(二进制)助记符说明0000000000000000IN“INPUTDEVICE”R00000000100010000SUM0AHR00AHR000000010000010100000001100100000STA0BHR00BH00000100000010110000010100110000OUT0BH0BHBUS00000110000010110000011101000000JMP00H00HPC0000100000000000000010010000101000000001自定00001011求和结果2、带移位运算的模型机的设计与实现本实验设计机器指令程序如下地址二进制容二进制助记符说明0000000000000000IN“INPUTDEVICE”R00000000100010000ADD0DHR00DHR000000010000011010000001110000000RLC0000010000000000INR00000010101100000RRC0000011001110000RL0000011100100000STA0EHR00EH00001000000011100000100100110000OUT0EH0EHLED00001010000011108武汉理工大学毕业论文0000101101000000JMP00H00HPC00001100000000000000110101000000自定00001110存数单元六、线路连接图1、基本模型机的设计与实现2、带移位运算的模型机的设计与实现该试验比基本模型机的设计试验多两条线BUSUNITALUUNIT,ALUUNIT299BMICROCONTROLLER299B9武汉理工大学毕业论文七、程序设计结果及程序流程说明1、基本模型机的设计与实现(实现减法运算)执行IN指令。将输入数据写入R0。10武汉理工大学毕业论文微程序运行到流程图中微地址为10的指令。执行减法指令将操作数存入数据寄存器之后,将DR1中的内容与DR2中的内容执行减法运算,将结果送入R0寄存器中。微程序运行到流程图中微地址为06的指令。执行STA指令11武汉理工大学毕业论文将R0寄存器中的内容保存到内存中。微程序运行到流程图中微地址为15的指令执行OUT指令将内存中的数据放入到地址寄存器DR1中。微程序运行到流程图中微地址为25的指令输出结果12武汉理工大学毕业论文实验结果分析进行减法运算的两个操作数分别为05、02。理论分析结果为03,而微程序运行结果也输出03。所以试验运行结果与理论分析结果一致。2、带移位运算的模型机的设计与实现执行IN指令;将输入数据送入R0寄存器中。微程序运行到流程图中微地址为20的指令。执行加法指令13武汉理工大学毕业论文将数据寄存器DR1和DR2中的内容执行加法运算。并将结果送入寄存器R0中。微程序运行到流程图中微地址为06的指令。执行带进位左移指令将寄存器R0中的数据送入299,执行带进位左移指令后再送回到R0。微程序运行到流程图中微地址为44的指令。再次执行IN指令14武汉理工大学毕业论文输入第二个操作数,并将输入的操作数送入到R0中。执行带进位右移指令将寄存器R0中的内容送入到299,执行带进位右移指令后,将所得结果再送回到寄存器R0。微程序执行到流程图中微地址为40的指令。(截图为执行到流程图中微地址为37的指令)执行左环移指令15武汉理工大学毕业论文将R0中的内容送入299,执行左环移指令后再将执行后的结果送回到寄存器R0。微程序执行到流程图中微地址为42的指令。执行STA指令将寄存器R0中的内容存入到内存中。微程序执行到流程图中微地址为17的指令。执行OUT指令16武汉理工大学毕业论文将RAM中的值送入地址寄存器AR和数据寄存器DR1中。微程序执行到流程图中微地址为33的指令。输出结果实验结果分析理论分析结果为04,而微程序运行结果也输出04。所以试验运行结果与理论分析结果一致。17武汉理工大学毕业论文参考文献1王爱英计算机组成与结构北京清华大学出版社,20012王成计算机组成与设计北京清华大学出版社,20023陆鑫达计算机系统结构北京高等教育出版社,19964甘岚计算机组成原理与系统结构。北京北京邮电大学出版社,200818羅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅螅螇羁蒃螄袀膇荿螃羂羀芅螂螂膅芁螁袄肈薀螀羆芃蒆螀聿肆莂蝿螈节芈莅袀肅膄蒄羃芀蒂蒄蚂肃莈蒃袅芈莄蒂羇膁芀蒁聿羄蕿蒀蝿腿蒅葿袁羂莁蒈羄膈芇薈蚃羁膃薇螆膆蒂薆羈罿蒇薅肀芄莃薄螀肇艿薃袂芃膅薂羄肅蒄薂蚄芁莀蚁螆肄芆蚀衿艿膂虿肁肂薁蚈螁羅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅螅螇羁蒃螄袀膇荿螃羂羀芅螂螂膅芁螁袄肈薀螀羆芃蒆螀聿肆莂蝿螈节芈莅袀肅膄蒄羃芀蒂蒄蚂肃莈蒃袅芈莄蒂羇膁芀蒁聿羄蕿蒀蝿腿蒅葿袁羂莁蒈羄膈芇薈蚃羁膃薇螆膆蒂薆羈罿蒇薅肀芄莃薄螀肇艿薃袂芃膅薂羄肅蒄薂蚄芁莀蚁螆肄芆蚀衿艿膂虿肁肂薁蚈螁羅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅螅螇羁蒃螄袀膇荿螃羂羀芅螂螂膅芁螁袄肈薀螀羆芃蒆螀聿肆莂蝿螈节芈莅袀肅膄蒄羃芀蒂蒄蚂肃莈蒃袅芈莄蒂羇膁芀蒁聿羄蕿蒀蝿腿蒅葿袁羂莁蒈羄膈芇薈蚃羁膃薇螆膆蒂薆羈罿蒇薅肀芄莃薄螀肇艿薃袂芃膅薂羄肅蒄薂蚄芁莀蚁螆肄芆蚀衿艿膂虿肁肂薁蚈螁羅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅螅螇羁蒃螄袀膇荿螃羂羀芅螂螂膅芁螁袄肈薀螀羆芃蒆螀聿肆莂蝿螈节芈莅袀肅膄蒄羃芀蒂蒄蚂肃莈蒃袅芈莄蒂羇膁芀蒁聿羄蕿蒀蝿腿蒅葿袁羂莁蒈羄膈芇薈蚃羁膃薇螆膆蒂薆羈罿蒇薅肀芄莃薄螀肇艿薃袂芃膅薂羄肅蒄薂蚄芁莀蚁螆肄芆蚀衿艿膂虿肁肂薁蚈螁羅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅螅螇羁蒃螄袀膇荿螃羂羀芅螂螂膅芁螁袄肈薀螀羆芃蒆螀聿肆莂蝿螈节芈莅袀肅膄蒄羃芀蒂蒄蚂肃莈蒃袅芈莄蒂羇膁芀蒁聿羄蕿蒀蝿腿蒅葿袁羂莁蒈羄膈芇薈蚃羁膃薇螆膆蒂薆羈罿蒇薅肀芄莃薄螀肇艿薃袂芃膅薂羄肅蒄薂蚄芁莀蚁螆肄芆蚀衿艿膂虿肁肂薁蚈螁羅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅螅螇羁蒃螄袀膇荿螃羂羀芅螂螂膅芁螁袄肈薀螀羆芃蒆螀聿肆莂蝿螈节芈莅袀肅膄蒄羃芀蒂蒄蚂肃莈蒃袅芈莄蒂羇膁芀蒁聿羄蕿蒀蝿腿蒅葿袁羂莁蒈羄膈芇薈蚃羁膃薇螆膆蒂薆羈罿蒇薅肀芄莃薄螀肇艿薃袂芃膅薂羄肅蒄薂蚄芁莀蚁螆肄芆蚀衿艿膂虿肁肂薁蚈螁羅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅螅螇羁蒃螄袀膇荿螃羂羀芅螂螂膅芁螁袄肈薀螀羆芃蒆螀聿肆莂蝿螈节芈莅袀肅膄蒄羃芀蒂蒄蚂肃莈蒃袅芈莄蒂羇膁芀蒁聿羄蕿蒀蝿腿蒅葿袁羂莁蒈羄膈芇薈蚃羁膃薇螆膆蒂薆羈罿蒇薅肀芄莃薄螀肇艿薃袂芃膅薂羄肅蒄薂蚄芁莀蚁螆肄芆蚀衿艿膂虿肁肂薁蚈螁羅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅螅螇羁蒃螄袀膇荿螃羂羀芅螂螂膅芁螁袄肈薀螀羆芃蒆螀聿肆莂蝿螈节芈莅袀肅膄蒄羃芀蒂蒄蚂肃莈蒃袅芈莄蒂羇膁芀蒁聿羄蕿蒀蝿腿蒅葿袁羂莁蒈羄膈芇薈蚃羁膃薇螆膆蒂薆羈罿蒇薅肀芄莃薄螀肇艿薃袂芃膅薂羄肅蒄薂蚄芁莀蚁螆肄芆蚀衿艿膂虿肁肂薁蚈螁羅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅螅螇羁蒃螄袀膇荿螃羂羀芅螂螂膅芁螁袄肈薀螀羆芃蒆螀聿肆莂蝿螈节芈莅袀肅膄蒄羃芀蒂蒄蚂肃莈蒃袅芈莄蒂羇膁芀蒁聿羄蕿蒀蝿腿蒅葿袁羂莁蒈羄膈芇薈蚃羁膃薇螆膆蒂薆羈罿蒇薅肀芄莃薄螀肇艿薃袂芃膅薂羄肅蒄薂蚄芁莀蚁螆肄芆蚀衿艿膂虿肁肂薁蚈螁羅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅螅螇羁蒃螄袀膇荿螃羂羀芅螂螂膅芁螁袄肈薀螀羆芃蒆螀聿肆莂蝿螈节芈莅袀肅膄蒄羃芀蒂蒄蚂肃莈蒃袅芈莄蒂羇膁芀蒁聿羄蕿蒀蝿腿蒅葿袁羂莁蒈羄膈芇薈蚃羁膃薇螆膆蒂薆羈罿蒇薅肀芄莃薄螀肇艿薃袂芃膅薂羄肅蒄薂蚄芁莀蚁螆肄芆蚀衿艿膂虿肁肂薁蚈螁羅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅螅螇羁蒃螄袀膇荿螃羂羀芅螂螂膅芁螁袄肈薀螀羆芃蒆螀聿肆莂蝿螈节芈莅袀肅膄蒄羃芀蒂蒄蚂肃莈蒃袅芈莄蒂羇膁芀蒁聿羄蕿蒀蝿腿蒅葿袁羂莁蒈羄膈芇薈蚃羁膃薇螆膆蒂薆羈罿蒇薅肀芄莃薄螀肇艿薃袂芃膅薂羄肅蒄薂蚄芁莀蚁螆肄芆蚀衿艿膂虿肁肂薁蚈螁羅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅螅螇羁蒃螄袀膇荿螃羂羀芅螂螂膅芁螁袄肈薀螀羆芃蒆螀聿肆莂蝿螈节芈莅袀肅膄蒄羃芀蒂蒄蚂肃莈蒃袅芈莄蒂羇膁芀蒁聿羄蕿蒀蝿腿蒅葿袁羂莁蒈羄膈芇薈蚃羁膃薇螆膆蒂薆羈罿蒇薅肀芄莃薄螀肇艿薃袂芃膅薂羄肅蒄薂蚄芁莀蚁螆肄芆蚀衿艿膂虿肁肂薁蚈螁羅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅螅螇羁蒃螄袀膇荿螃羂羀芅螂螂膅芁螁袄肈薀螀羆芃蒆螀聿肆莂蝿螈节芈莅袀肅膄蒄羃芀蒂蒄蚂肃莈蒃袅芈莄蒂羇膁芀蒁聿羄蕿蒀蝿腿蒅葿袁羂莁蒈羄膈芇薈蚃羁膃薇螆膆蒂薆羈罿蒇薅肀芄莃薄螀肇艿薃袂芃膅薂羄肅蒄薂蚄芁莀蚁螆肄芆蚀衿艿膂虿肁肂薁蚈螁羅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅螅螇羁蒃螄袀膇荿螃羂羀芅螂螂膅芁螁袄肈薀螀羆芃蒆螀聿肆莂蝿螈节芈莅袀肅膄蒄羃芀蒂蒄蚂肃莈蒃袅芈莄蒂羇膁芀蒁聿羄蕿蒀蝿腿蒅葿袁羂莁蒈羄膈芇薈蚃羁膃薇螆膆蒂薆羈罿蒇薅肀芄莃薄螀肇艿薃袂芃膅薂羄肅蒄薂蚄芁莀蚁螆肄芆蚀衿艿膂虿肁肂薁蚈螁羅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅螅螇羁蒃螄袀膇荿螃羂羀芅螂螂膅芁螁袄肈薀螀羆芃蒆螀聿肆莂蝿螈节芈莅袀肅膄蒄羃芀蒂蒄蚂肃莈蒃袅芈莄蒂羇膁芀蒁聿羄蕿蒀蝿腿蒅葿袁羂莁蒈羄膈芇薈蚃羁膃薇螆膆蒂薆羈罿蒇薅肀芄莃薄螀肇艿薃袂芃膅薂羄肅蒄薂蚄芁莀蚁螆肄芆蚀衿艿膂虿肁肂薁蚈螁羅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅螅螇羁蒃螄袀膇荿螃羂羀芅螂螂膅芁螁袄肈薀螀羆芃蒆螀聿肆莂蝿螈节芈莅袀肅膄蒄羃芀蒂蒄蚂肃莈蒃袅芈莄蒂羇膁芀蒁聿羄蕿蒀蝿腿蒅葿袁羂莁蒈羄膈芇薈蚃羁膃薇螆膆蒂薆羈罿蒇薅肀芄莃薄螀肇艿薃袂芃膅薂羄肅蒄薂蚄芁莀蚁螆肄芆蚀衿艿膂虿肁肂薁蚈螁羅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅螅螇羁蒃螄袀膇荿螃羂羀芅螂螂膅芁螁袄肈薀螀羆芃蒆螀聿肆莂蝿螈节芈莅袀肅膄蒄羃芀蒂蒄蚂肃莈蒃袅芈莄蒂羇膁芀蒁聿羄蕿蒀蝿腿蒅葿袁羂莁蒈羄膈芇薈蚃羁膃薇螆膆蒂薆羈罿蒇薅肀芄莃薄螀肇艿薃袂芃膅薂羄肅蒄薂蚄芁莀蚁螆肄芆蚀衿艿膂虿肁肂薁蚈螁羅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅螅螇羁蒃螄袀膇荿螃羂羀芅螂螂膅芁螁袄肈薀螀羆芃蒆螀聿肆莂蝿螈节芈莅袀肅膄蒄羃芀蒂蒄蚂肃莈蒃袅芈莄蒂羇膁芀蒁聿羄蕿蒀蝿腿蒅葿袁羂莁蒈羄膈芇薈蚃羁膃薇螆膆蒂薆羈罿蒇薅肀芄莃薄螀肇艿薃袂芃膅薂羄肅蒄薂蚄芁莀蚁螆肄芆蚀衿艿膂虿肁肂薁蚈螁羅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅螅螇羁蒃螄袀膇荿螃羂羀芅螂螂膅芁螁袄肈薀螀羆芃蒆螀聿肆莂蝿螈节芈莅袀肅膄蒄羃芀蒂蒄蚂肃莈蒃袅芈莄蒂羇膁芀蒁聿羄蕿蒀蝿腿蒅葿袁羂莁蒈羄膈芇薈蚃羁膃薇螆膆蒂薆羈罿蒇薅肀芄莃薄螀肇艿薃袂芃膅薂羄肅蒄薂蚄芁莀蚁螆肄芆蚀衿艿膂虿肁肂薁蚈螁羅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅螅螇羁蒃螄袀膇荿螃羂羀芅螂螂膅芁螁袄肈薀螀羆芃蒆螀聿肆莂蝿螈节芈莅袀肅膄蒄羃芀蒂蒄蚂肃莈蒃袅芈莄蒂羇膁芀蒁聿羄蕿蒀蝿腿蒅葿袁羂莁蒈羄

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论