论文-基于fpga的数字钟控制器设计_第1页
论文-基于fpga的数字钟控制器设计_第2页
论文-基于fpga的数字钟控制器设计_第3页
论文-基于fpga的数字钟控制器设计_第4页
论文-基于fpga的数字钟控制器设计_第5页
已阅读5页,还剩51页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

基于FPGA的数字钟控制器设计专业电子信息科学与技术摘要本设计采用EDA技术,利用硬件描述语言VHDL为系统逻辑描述手段设计电路,以QUARTUSII软件为设计平台,进行基于FPGA的数字时钟电路的方案设计、程序设计输入、编译和仿真。该时钟由分频模块、键盘输入模块、控制模块、计时模块、译码显示模块、闹铃模块以及报时模块组成。在可编程逻辑器件FPGA上实现电路设计,该时钟使用千分频产生1HZ的时钟源,具有时、分、秒计数显示功能,以24小时循环计时并且具有清零、调节小时、分钟、整点报时和闹铃功能。本设计的电路简单,但功能齐全,FPGA的设计改动非常方便,只用改变程序设计出相应的内部模块就可以实现一些基本门电路的功能。根据需要可以增添日历、温度显示等功能,所以本设计具有很强的升级前景。关键词数字时钟;EDA;VHDL;FPGA;仿真四川理工学院毕业设计(论文)文献综述基于FPGA的数字钟控制器设计学生史凯学号10210517专业电子信息科学与技术班级电科201指导教师徐金龙四川理工学院自动化与电子信息学院二O一四年三月DESIGNOFDIGITALCLOCKCONTROLLERBASEDONFPGASHIKAISICHUANUNIVERSITYOFSCIENCEANDENGINEERING,ZIGONG,CHINA,643000ABSTRACTTHISDESIGNADOPTSTHEEDATECHNOLOGY,THEUSEOFHARDWAREDESCRIPTIONLANGUAGEVHDLDESIGNCIRCUITFORTHESYSTEMLOGICDESCRIPTIONMEANS,THEDESIGNPLATFORMISTHESOFTWAREOFQUARTUSII,DIGITALCLOCKSBASEDONFPGACIRCUITDESIGN,PROGRAMDESIGNINPUT,COMPILATIONANDSIMULATIONTHECLOCKWASCONSTITUTEDBYTHEFREQUENCYDIVISIONMODULE,KEYBOARDINPUTMODULE,CONTROLMODULE,TIMINGMODULE,DECODINGMODULEANDDISPLAYMODULE,ALARMTIMEMODULETHECIRCUITDESIGNWASREALIZEDBYPROGRAMMABLELOGICDEVICEFPGA,THECLOCKUSESMICROMETERPRODUCE1HZFREQUENCYOFTHECLOCKSOURCEANDWITHTIME,MINUTESANDSECONDSCOUNTDISPLAY,WITHAHOURS,MINUTESANDSECONDSCOUNTDISPLAYTOA24HOURCYCLECOUNTHAVEPROOFFUNCTIONSANDTHEWHOLEPOINTTIMEKEEPINGFUNCTIONANDSETAALARMTHEDESIGNOFTHECIRCUITISSIMPLE,BUTTHEFUNCTIONISALLREADY,THEFPGADESIGNCHANGESVERYCONVENIENT,JUSTCHANGETHEPROGRAMDESIGNACORRESPONDINGINTERNALMODULECANREALIZETHEFUNCTIONOFSOMEBASICGATEACCORDINGTOTHENEEDCANADDCALENDAR,TEMPERATUREDISPLAY,ANDOTHERFUNCTIONS,SOTHISDESIGNHASASTRONGPROSPECTOFUPGRADINGKEYWORDSDIGITALCLOCKEDAVHDLFPGASIMULATION目录摘要IABSTRACTII第1章引言111课题研究的意义112方案的比较213设计方案的概述314毕业设计任务315本章小结3第2章EDA技术简介421EDA技术的发展422FPGA概述5221什么是可编程逻辑器件6222工作原理6223FPGA基本结构6224FPGA系统设计流程823QUARTUSII设计平台10231软件开发环境及基本流程10232具体设计流程1224本章小结14第3章数字钟的整体设计方案1531数字钟的构成1532数字钟的设计思路及工作方法1633本章小结16第4章电路程序设计与仿真1741分频模块电路设计与仿真17411分频模块的程序设计17412分频模块的仿真1842键盘控制设计与仿真18421键盘接口电路原理18422按键消抖模块的程序设计19423按键消抖模块的仿真20424按键扫描模块的程序设计20425键扫描模块的仿真21426键码转换模块的程序设计22427键码转换模块的仿真22428按键连接模块的程序设计23429按键连接模块的仿真2343计数模块设计与仿真24431秒(分)计数模块的程序设计24432秒(分)计数模块的仿真24433小时计数模块程序设计25434小时计数模块的仿真2644闹钟模块设计与仿真26441闹钟模块的程序设计26442闹钟模块的仿真2745整点报时模块设计与仿真27451整点报时模块的程序设计27452整点报时模块的仿真2846动态扫描显示模块设计与仿真28461动态扫描显示模块的程序设计28462动态扫描显示模块的仿真2947系统顶层文件图3048本章小结30第5章总结3251实验结论3252研究展望33致谢34参考文献35附录1电路源程序36附录2系统顶层文件图49第1章引言11课题研究的意义人们很早以前便有了时间的概念,并发明了一系列技术装置,三千多年前,我国祖先最早发明了用土和石片刻制成的“土圭”和“日规”两种计时器,成为世界上最早发明计时器的国家之一,可见时钟的重要性,现在更是一个注重时间的社会,所以研究数字时钟能够给人们带来极大的便利,对时钟的数字化研究有利于人们更准确的了解时间,更有条不紊的完成工作,智能化数字时钟的研究对丰富人们的生活具有重要意义。近些年,随着科技的发展和社会的进步,人们对数字钟的要求也越来越高,传统的时钟已不能满足人们的需求。多功能数字钟不管在性能还是在样式上都发生了质的变化,有电子闹钟、数字闹钟等等。时间的宝贵是个亘古不变的真理,然而工作的忙碌性和繁杂性很容易让人忘记当前的时间或是工作中不能及时方便地知晓时间。交通上,火车汽车要准时到达,航班要准时起飞;在日常生活中,学校要求上学准时,公司召开的会议要求参加准时,重要约会要求到达准时;在工业生产中,许多工作环节都规定了精准的时间、间隔以及次序。所以说,随时准确地知道时间并且利用时间是学习、工作、生活的必要要求。FPGA是一种集成电路芯片,它将各种功能集成到一块硅片上并且内含完善的微型计算机系统。FPGA的优点有集成度高、功能强、可靠性高、体积小、功耗低、使用方便、价格低廉等,所以本设计讨论的电子时钟系统就是利用FPGA制成。电子时钟与机械时钟相比具有很多优越性。首先,其主要特点是直观性,电子时钟可以通过数字显示反映出当前的时间。其次在使用寿命方面,因为电子钟不是机械驱动,所以使用寿命更长。然后在准确度方面,由于应用了电子集成电路和石英晶体振荡器,数字时钟的精准度远远超过了老式石英钟的石英机芯驱动。最后,电子时钟还能大大扩展老式钟表的功能,在准确显示时间得基础上,还可借助FPGA实现诸如闹铃、定时、自动报警等功能。电子时钟的意义不仅仅在于反应时间本身,这个时间系统还可以当做一个单位模块应用于其他设备中,比如定时广播、定时开关烤箱、定时关闭路灯,以及其他各种定时电气的自动启用等设备,都是内嵌了电子时钟而实现其时间功能的。因此,研究电字时钟及其拓展电路的应用,将会对以后研究其他自动化设备产生积极意义。随着现场可编程门阵列(FPGA)的出现,电子系统向集成化,大规模和高速度等方向发展的趋势更加明显,FPGA是特殊的ASIC芯片,ASIC是专用的系统集成电路,是一种带有逻辑处理的加速处理器,FPGA与其他的ASIC芯片相比,它具有设计开发周期短、设计制造成本低、开发工具先进、标准产品无需测试、质量稳定以及可实时在线检测等优点,故利用FPGA这一新技术手段俩研究电子钟具有重要意义。1812方案的比较时钟的实现具体有三种方法。一是通过单纯的数字电路来实现;二是使用单片机来控制实现;三是使用可编程逻辑器件(CPLD/FPGA)来实现。由于纯数字电路实现不仅造价很高、设计麻烦,且后期的调试与验证会有很多连线与布局的问题,此方法已经很不实用了,所以放弃此方法;而第二种和第三种方法均是采用一个主控芯片来控制时钟电路,再外接不同的模块来实现完整的功能,需进一步说明两种方法的不同。1单片机单片机是一种集成在电路芯片,是采用超大规模集成电路技术把具有数据处理能力的中央处理器CPU随机存储器RAM、只读存储器ROM、多种I/O口和中断系统、定时器/计时器等功能(可能还包括显示驱动电路、脉宽调制电路、模拟多路转换器、A/D转换器等电路)集成到一块硅片上构成的一个小而完善的计算机系统。2可编程逻辑器件可编程逻辑器件PLD(PROGRAMMABLELOGICDEVICEPLD是做为一种通用集成电路生产的,他的逻辑功能按照用户对器件编程来搞定。一般的PLD的集成度很高,足以满足设计一般的数字系统的需要。这样就可以由设计人员自行编程而把一个数字系统“集成”在一片PLD上,而不必去请芯片制造厂商设计和制作专用的集成电路芯片了。相比较而言,单片机一般用于操作控制,PLD一般用于组合逻辑,功能各不相同,但PLD功能比普通的单片机更强、速度更快、价格也越来越便宜。并且PLD更加高端一些,灵活性更强,近来发展很快,之后的电子技术发展PLD的应用将会非常广泛。选择PLD进行设计会对以后的专业发展有很大的帮助,所以选择第三种方法即采用可编程逻辑器件进行设计。13设计方案的概述本方案以FPGA芯片为核心,将外接时钟信号送至FPGA作为时钟基准信号和动态扫描信号。在芯片内部分别设计出数字钟的各个模块,如分频模块、计时模块、闹钟模块、整点报时模块和动态扫描模块等。将各位信号送出至数码管显示。本方案的电路比较简单,只用外接一个稳压电源为主控芯片和数码管供电,再接一个晶振和一个数码管即可。本设计的电路简单,但功能齐全,可以设置闹钟、时间校对和整点报时,FPGA的设计改动非常方便,只用改变程序设计出相应的内部模块就可以实现一些基本门电路的功能。根据需要可以增添日历、温度显示等功能,所以本设计具有很强的升级前景。91414毕业设计任务本设计主要研究基于FPGA的数字钟,要求时间以24小时为一个周期,显示时、分、秒。具有校时、设闹钟及整点报时的功能,可以对时、分、秒进行单独校对,使其校正到标准时间。15本章小结本章介绍了数字时钟的研究现状以及对人们日常生活的重要性,并进行了设计方案的比较,同时对设计方案进行了概述。第2章EDA技术简介20世纪90年代,国际上电子和计算机技术较为先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。21EDA技术的发展EDA是电子设计自动化(ELECTRONICDESIGNAUTOMATION)的缩写,在20世纪60年代中期从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。20世纪90年代,国际上电子和计算机技术较先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程的计算机上自动处理完成。现在对EDA的概念或范畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA技术已在各大公司、企事业单位和科研教学部门广泛使用。例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。EDA技术的概念EDA技术是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程的计算机上自动处理完成。现在对EDA的概念或范畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA技术已在各大公司、企事业单位和科研教学部门广泛使用。例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。设计方法1前端设计系统建模RTL级描述后端设计FPGAASIC系统建模。2IP复用。3前端设计。4系统描述建立系统的数学模型。5功能描述描述系统的行为或各子模块之间的数据流图。6逻辑设计将系统功能结构化,通常以文本、原理图、逻辑图、布尔表达式来表示设计结果。7仿真包括功能仿真和时序仿真,主要验证系统功能的正确性及时序特性。22FPGA概述FPGAFIELDPROGRAMMABLEGATEARRAY现场可编程逻辑门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路ASIC领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。它是当今数字系统设计的主要硬件平台,其主要特点就是完全由用户通过软件进行配置和编程,从而完成某种特定的功能,且可以反复擦写。在修改和升级时,不需额外地改变PCB电路板,只是在计算机上修改和更新程序,使硬件设计工作成为软件开发工作,缩短了系统设计的周期,提高了实现的灵活性并降低了成本。221什么是可编程逻辑器件在数字电子系统领域,存在三种基本的器件类型存储器、微处理器和逻辑器件。存储器用来存储随机信息,如数据表或数据库的内容。微处理器执行软件指令来完成范围广泛的任务,如运行字处理程序或视频游戏。逻辑器件提供特定的功能,包括器件与器件间的接口、数据通信、信号处理、数据显示、定时和控制操作、以及系统运行所需要的所有其它功能。逻辑器件又分为固定逻辑和可编程逻辑,固定逻辑是器件复杂性不同,从设计、原型到最终生产,当应用发生变化时就要从头设计,可编程逻辑器件较固定的优点就在于当应用发生变化和器件工作不合适时不用从头设计,直接从新编写逻辑器件后就可以了,这样就节省了前期的开发费用和周期。222工作原理FPGA采用了逻辑单元阵列LCA(LOGICCELLARRAY)这样一个概念,内部包括可配置逻辑模块CLB(CONFIGURABLELOGICBLOCK)、输入输出模块IOB(INPUTOUTPUTBLOCK)和内部连线(INTERCONNECT)三个部分。现场可编程门阵列(FPGA)是可编程器件,与传统逻辑电路和门阵列(如PAL,GAL及CPLD器件)相比,FPGA具有不同的结构。FPGA利用小型查找表(161RAM)来实现组合逻辑,每个查找表连接到一个D触发器的输入端,触发器再来驱动其他逻辑电路或驱动I/O,由此构成了既可实现组合逻辑功能又可实现时序逻辑功能的基本逻辑单元模块,这些模块间利用金属连线互相连接或连接到I/O模块。FPGA的逻辑是通过向内部静态存储单元加载编程数据来实现的,存储在存储器单元中的值决定了逻辑单元的逻辑功能以及各模块之间或模块与I/O间的联接方式,并最终决定了FPGA所能实现的功能,FPGA允许无限次的编程1517。223FPGA基本结构FPGA一般由3种可编程电路和一个用于存放编程数据的静态存储器SRAM组成。这3种可编程电路是可编程逻辑模块(CLBCONFIGURABLELOGICBLOCK)、输入/输出模块(IOBI/OBLOCK)和互连资源(IRINTERCONNECTRESOURCE)。可编程逻辑模块CLB是实现逻辑功能的基本单元,它们通常规则的排列成一个阵列,散布于整个芯片;可编程输入/输出模块(IOB)主要完成芯片上的逻辑与外部封装脚的接口,它通常排列在芯片的四周;可编程互连资源包括各种长度的连接线段和一些可编程连接开关,它们将各个CLB之间或CLB、IOB之间以及IOB之间连接起来,构成特定功能的电路。1CLB是FPGA的主要组成部分。图21是CLB基本结构框图,它主要由逻辑函数发生器、触发器、数据选择器等电路组成。CLB中3个逻辑函数发生器分别是G、F和H,相应的输出是G、F和H。G有4个输入变量G1、G2、G3和G4;F也有4个输入变量F1、F2、F3和F4。这两个函数发生器是完全独立的,均可以实现4输入变量的任意组合逻辑函数。逻辑函数发生器H有3个输入信号;前两个是函数发生器的输出G和F,而另一个输入信号是来自信号变换电路的输出H1。这个函数发生器能实现3输入变量的各种组合函数。这3个函数发生器结合起来,可实现多达9变量的逻辑函数。可编程开关矩输入输出模块互连资源CLBCLBCLBCLBCLBCLBCLBBCLBCLBCLBCLB矩CLBCLBCLB块CLBCLBCLBBCLBCLBCLBCLBCLBCLB图21CLB基本结构CLB中有许多不同规格的数据选择器(四选一、二选一等),通过对CLB内部数据选择器的编程,逻辑函数发生器G、F和H的输出可以连接到CLB输出端X或Y,并用来选择触发器的激励输入信号、时钟有效边沿、时钟使能信号以及输出信号。这些数据选择器的地址控制信号均由编程信息提供,从而实现所需的电路结构。CLB中的逻辑函数发生器F和G均为查找表结构,其工作原理类似于ROM。F和G的输入等效于ROM的地址码,通过查找ROM中的地址表可以得到相应的组合逻辑函数输出。另一方面,逻辑函数发生器F和G还可以作为器件内高速RAM或小的可读写存储器使用,它由信号变换电路控制。输入/输出模块IOB。IOB提供了器件引脚和内部逻辑阵列之间的连接。它主要由输入触发器、输入缓冲器和输出触发/锁存器、输出缓冲器组成。每个IOB控制一个引脚,它们可被配置为输入、输出或双向I/O功能。当IOB控制的引脚被定义为输入时,通过该引脚的输入信号先送入输入缓冲器。缓冲器的输出分成两路一路可以直接送到MUX,另一路经延时几纳秒(或者不延时)送到输入通路D触发器,再送到数据选择器。通过编程给数据选择器不同的控制信息,确定送至CLB阵列的I1和I2是来自输入缓冲器,还是来自触发器。当IOB控制的引脚被定义为输出时,CLB阵列的输出信号OUT也可以有两条传输途径一条是直接经MUX送至输出缓冲器,另一条是先存入输出通路D触发器,再送至输出缓冲器。IOB输出端配有两只MOS管,它们的栅极均可编程,使MOS管导通或截止,分别经上拉电阻接通VCC、地线或者不接通,用以改善输出波形和负载能力。可编程互连资源IR。可编程互连资源IR可以将FPGA内部的CLB和CLB之间、CLB和IOB之间连接起来,构成各种具有复杂功能的系统。IR主要由许多金属线段构成,这些金属线段带有可编程开关,通过自动布线实现各种电路的连接。224FPGA系统设计流程一般说来,一个比较大的完整的项目应该采用层次化的描述方法分为几个较大的模块,定义好各功能模块之间的接口,然后各个模块再细分去具体实现,这就是自顶向下的设计方法。目前这种高层次的设计方法已被广泛采用。高层次设计只是定义系统的行为特征,可以不涉及实现工艺,因此还可以在厂家综合库的支持下,利用综合优化工具将高层次描述转换成针对某种工艺优化的网络表,使工艺转化变得轻而易举。CPLD/FPGA系统设计的工作流程如图22所示系统划分编译器代码级功能仿真综合器适配前时序仿真适配器CPLD/FPGA实现适配后仿真模型适配后时序仿真适配报告ASIC实现VHDL代码或图形方式输入仿真综合器件编程文件图22CPLD/FPGA系统设计流程流程说明1工程师按照“自顶向下”的设计方法进行系统划分。2输入VHDL代码,这是设计中最为普遍的输入方式。此外,还可以采用图形输入方式(框图、状态图等),这种输入方式具有直观、容易理解的优点。3将以上的设计输入编译成标准的VHDL文件。4进行代码级的功能仿真,主要是检验系统功能设计的正确性。这一步骤适用于大型设计,因为对于大型设计来说,在综合前对源代码仿真,就可以大大减少设计重复的次数和时间。一般情况下,这一仿真步骤可略去。5利用综合器对VHDL源代码进行综合优化处理,生成门级描述的网络表文件,这是将高层次描述转化为硬件电路的关键步骤。综合优化是针对ASIC芯片供应商的某一产品系列进行的,所以综合的过程要在相应的厂家综合库的支持下才能完成。6利用产生的网络表文件进行适配前的时序仿真,仿真过程不涉及具体器件的硬件特性,是较为粗略的。一般的设计,也可略去这一步骤。7利用适配器将综合后的网络表文件针对某一具体的目标器件进行逻辑映射操作,包括底层器件配置、逻辑分割、逻辑优化和布局布线。8在适配完成后,产生多项设计结果(A)适配报告,包括芯片内部资源利用情况,设计的布尔方程描述情况等;(B)适配后的仿真模型;(C)器件编程文件。根据适配后的仿真模型,可以进行适配后时序仿真,因为已经得到器件的实际硬件特性(如时延特性),所以仿真结果能比较精确的预期未来芯片的实际性能。如果仿真结果达不到设计要求,就修改VHDL源代码或选择不同速度和品质的器件,直至满足设计要求。最后将适配器产生的器件编程文件通过编程器或下载电缆载入到目标芯片CPLD/FPGA中。23QUARTUSII设计平台231软件开发环境及基本流程本设计所用软件主要是QUARTUSII,在此对它做一些介绍。QUARTUSII是ALTERA提供的FPGA/CPLD开发集成环境,ALTERA是世界上最大的可编程逻辑器件供应商之一。QUARTUSII提供了一种与结构无关的设计环境,使设计者能方便地进行设计输入、快速处理和器件编程。ALTERA公司的QUARTUSII开发工具人机界面友好、易

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论