eda智能时钟设计报告书毕业设计论文_第1页
eda智能时钟设计报告书毕业设计论文_第2页
eda智能时钟设计报告书毕业设计论文_第3页
eda智能时钟设计报告书毕业设计论文_第4页
eda智能时钟设计报告书毕业设计论文_第5页
已阅读5页,还剩14页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

课程设计报告书(20142015第二学期)设计题目EDA与数字系统设计学院名称电气与自动化工程学院专业(班级)电气2013级姓名(学号)王艺潮(201317050)起讫日期2015年6月23日2015年7月17日指导教师朱维勇李维华刘春系(教研室)负责人合肥工业大学EDA与数字系统设计任务书设计题目EDA与数字系统设计主要内容了解PLD器件的基本结构,掌握QUARTUSII的使用方法,用图形输入法和VERILOGHDL完成规定的基本实验练习题,在此基础上自主完成一个数字系统设计、仿真、下载(FPGA实现)。应收集的资料1EDA与数字系统设计李国丽朱维勇主编2数字电子技术基础阎石主编设计进度计划讲课内容EDA技术介绍,VERILOGHDL介绍实验一QUARTUSII使用练习,完成一个简单门电路的图形设计输入、编译、仿真、管脚分配、下载,实验验证。(4学时)实验二图形设计输入38译码器,同步十进制加法计数器、同步六十进制计数器。(4学时)实验三用六十进制计数器制作十二进制计数器(0112),二十四进制计数器(0023)和百进制计数器。设计输入、编译、仿真、管脚分配、下载。(4学时)实验四完成以上实验的VERILOGHDL设计输入。(4学时)实验五完成扫描显示141,142。(4学时)实验六自主完成一个数字系统设计。包括方案设计、设计调试、下载验证。(8学时)设计考核验收。2学时写报告,内容包括实验内容,设计内容。主要参考文献1李国丽编,EDA与数字系统设计,20082王金明编,数字系统设计与VERILOGHDL电子工业出版社,20023阎石,数字电子技术基础高教出版社,2006指导教师按照设计进度计划要求,完成每一步任务意见备注目录实验一QUARTUSII使用练习实验二38译码器的设计实验三用74161和与非门来实现十进制计数器实验四60进制加法计数器实验五设计一个电路,用8个数码管逐个显示0、1、2、9实验六设计一个电路,用两个数码管显示0112的十二进制计数,两个数码管显示0059的六十进制计数综合设计实验多功能数字钟实验一QUARTUSII使用练习实验目的学会使用QUARTUSII实验步骤1、打开QUARTUS,创建工程FILENEWPROJECTWIZARD2、选择原理图文件BLOCKDIAGRAM/SCHEMATICFILE在打开的文件内双击放入元件“AND2”,连接INPUT,OUTPUT。3、编译(检查语法错误)COMPILATION4、仿真时序检查)SIMULATE新建文件,选择VWF文件,进入后在EDIT下修改ENDTIME(20MS)和GRIDSIZE(40S)5、管脚分配ASSIGNMENTSEDITOR/PINS6、再次编译(检查系统设计错误)COMPILATION以将管脚对应关系存入设计,并产生SOF文件7、下载TOOLS/PROGRAMMER下载前单击“ASSIGNMENTS”菜单,选择“DEVICE”,弹出“SETTING”浮动窗口;在“DEVICEANDPINOPTIONS”中,选择“DEVICEANDPINOPTIONS”,单击“UNUSEDPINS”,将未使用的引脚设定为“ASINPUTTRISTATED”仿真结果实验现象将实验箱模式改为“1”同是高电平时(拨码开关A,B同时拨到下方),指示灯灭。其余情况,指示灯亮,与仿真结果相同。实验二38译码器的设计实验目的了解38译码器的原理,用与门来实现38译码器,进一步使用QUARTUSII。实验步骤1、画出真值表,写出表达式,化成最简形式。2、新建工程,新建原理图,双击界面添加元件,绘制原理图;3、编译,查错,新建波形文件,波形模拟;管脚分配,再次编译。4、下载,在实验箱上操作观察。图一是自己设计制作的,图二是软件自己封装的。相比图一,图二利用更多的门电路消除了竞争冒险现象。仿真结果波形仿真有竞争冒险现象。实验现象在拨码开关拨到对应的数值时,所对应的灯就会灭掉。和74LS138恰好相反,是因为一开始设计时输出就是Y而不是Y非。实验三用74161和与非门来实现十进制计数器实验目的了解74161的工作原理,利用74161和与非门如何实现计数器。注意实验板各种时钟的用法。实验步骤1、打开QUARTUS,创建工程FILENEWPROJECTWIZARD2、选择原理图文件BLOCKDIAGRAM/SCHEMATICFILE在打开的文件内双击放入元件3、编译(检查语法错误)COMPILATION4、仿真时序检查)SIMULATE新建文件,选择VWF文件,进入后在EDIT下修改ENDTIME(20MS)和GRIDSIZE(40S)5、管脚分配ASSIGNMENTSEDITOR/PINS6、再次编译(检查系统设计错误)COMPILATION以将管脚对应关系存入设计,并产生SOF文件7、下载TOOLS/PROGRAMMER下载前单击“ASSIGNMENTS”菜单,选择“DEVICE”,弹出“SETTING”浮动窗口;在“DEVICEANDPINOPTIONS”中,选择“DEVICEANDPINOPTIONS”,单击“UNUSEDPINS”,将未使用的引脚设定为“ASINPUTTRISTATED”仿真结果实验现象在使用跳帽加入时钟脉冲后,灯以暗为1,从0到15不断循环。实验四60进制加法计数器实验目的了解74160,74161的工作原理,学会用74160、74161和与非门实现60进制计数器的方法。实验步骤1、写出状态转换表,写出表达式,化成最简形式。2、新建工程,新建原理图,双击界面添加元件,绘制原理图;3、编译,查错,新建波形文件,波形模拟;管脚分配,再次编译。4、下载,在实验箱上操作观察。仿真结果实验现象在使用跳帽加入时钟脉冲后,灯以暗为1,从0到59不断循环。实验五设计一个电路,用8个数码管逐个显示0、1、2、9。实验目的了解数码管的扫描显示原理,学会使用74160,74161,74138,7448来设计显示电路。注意计数时钟频率和扫描时钟频率的关系,扫描时钟频率对显示的影响。实验步骤根据扫描显示原理,利用四位二进制计数器的输入时钟CLKD是扫描时钟。扫描时钟CLK在某一周期内,38译码器(表1)输入扫描信号012SELSELSEL,译码器输出位控信号8MS1MS,控制八位显示器开关管。此刻,只有一个显示器点亮。四位八选一数据选择器(表1)根据数据选择信号012SELSELSEL的数值从八路输入数据中选择一路数据(一位BCD码)送给BCD七段显示译码器,通过BCD七段显示译码器译成七段显示码(表2),驱动七段显示器,显示具体内容。在连续8个时钟周期内,八个显示器轮流点亮一个时钟周期。只要输入连续时钟CLK,就能实现八个显示器扫描显示。利用人眼的视觉惯性,扫描频率应大于50HZ,根据计数器的分频关系,实际扫描频率CLK应大于200HZ。仿真结果实验现象在使用跳帽加入时钟脉冲后,时钟频率在1000HZ左右时,全亮,且可以分别示数,从1到9循环。实验六设计一个电路,用两个数码管显示0112的十二进制计数,两个数码管显示0059的六十进制计数。实验目的进一步了解多位数码管的扫描显示原理,自己设计12进制和60进制。了解用VERILOGHDL设计8选1数字选择器的方法。设计显示电路。实验步骤利用VERILOG语言编写元件60进制和12进制计数器如下仿真结果实验现象在接入时钟脉冲之后,左侧两个和右侧两个数码管有示数,分别对应12,60进制计数器。中间两个恒为零。综合设计实验设计题目多功能数字钟设计要求有时钟功能,校时功能,闹钟功能,12/24小时转换功能,整点报时功能。设计原理计数器部分蜂鸣器部分12/24进制转换电路,时钟闹钟显示转换电路12进制,24进制转换的思路是判断数值,大于12时,高位减1,低位减2(加上对应的补码1111和1110);时钟闹钟显示转换电路,原理就是一个单刀双掷开关;扫描示数电路闹钟延时电路作用是到达闹钟预设时间后,蜂鸣器响十秒,利用的关系,设计制作的。设计过程这是电路实现的最开始的设想。闹钟比较功能就是一群同或门与在一起。12/24小时转换功能开关打开时,对应的数据一旦出现就进行变换。至于显示就是一个单刀双掷开关结论在开关S16是高电平时,开启12/24进制转换功能;时钟12进制到了下午,灯DS6会亮,闹钟12进制到了下午,灯DS5会亮。在开关S17是高电平时,显示时钟,低电平时显示闹钟;在开关S18是高电平时,闹钟比较开始,此时,K2开关可以在闹钟闹铃时任意时间止闹;在开关S19是高电平时,时钟停走,此时K3,K4,K5分别为秒,分

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论