通信信号处理及传输实验指导书_第1页
通信信号处理及传输实验指导书_第2页
通信信号处理及传输实验指导书_第3页
通信信号处理及传输实验指导书_第4页
通信信号处理及传输实验指导书_第5页
已阅读5页,还剩129页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

通信信号处理及传输实验指导书电子科技大学通信学院李玉柏崔琳莉武畅杨炼通信信号处理及传输实验指导I目录第一部分通信信号处理及传输实验总体介绍1第二部分实验设备介绍3第三部分通信信号处理及传输实验11实验一软件无线电实验平台基本通信实验11实验二脉冲成型实验21实验三数字上下变频30实验四基带载波调制技术实验40实验五基带载波解调技术实验54实验六无线信道性能测试实验67实验七无线收发综合实验78实验八小型软件无线电系统SSFSDR综合实验88附录A信号发生器使用说明107附录B射频GUI使用说明125通信信号处理及传输实验指导1第一部分通信信号处理及传输实验总体介绍一、通信信号处理及传输实验的任务通过本课程的实验,要求学生能够基于可编程的、可设计的、模块化的软件无线电硬件平台,通过FPGA设计、DSP程序设计来完成各项通信功能,如脉冲成型、上下变频、调制解调等等;深入理解通信系统中各组成模块的实现原理,融汇贯通学生在通信专业的主要知识体系;同时通过本课程实验,让学生了解通信系统中的关键技术,了解实际硬件系统与理论的联系与区别,切实增强学生理论联系实际的能力。二、通信信号处理及传输实验简介通信信号处理及传输实验包含7个基础型、综合型实验项目以及1个创新设计型实验项目。单套实验设备包括PC机、软件无线电实验箱、基带信号发生器、DSP仿真器、FPGA仿真器等硬件平台,以及CCS、ISE等软件仿真平台。同时需要信号发生器、示波器等测试仪器。三、信号与系统课程适用的专业通信、电子信息类等专业。四、通信信号处理及传输实验涉及的核心知识点实验内容涉及通信信源编解码、信号成型滤波与均衡、数字载波调制和解调、无线信道中通信性能分析、信道编码算法、无线收发、信道噪声特性分析、点对点通信、多径干扰分析等。同时实验涉及基于DSP的分析设计方法和基于FPGA的分析设计方法。五、信号与系统实验的重点与难点对软件无线电通信中各重点模块的理解和设计,如波束形成、数字滤波器、上/下变频、软件无线电中的数字载波调制、软件无线电中的信号处理算法、无线信道中通信性能分析、软件无线电中的信道编码算法、射频及模拟前端等。六、考核方式实验报告。七、总学时40学时。八、教材名称及教材性质通信信号处理及传输实验指导2陈祝明,软件无线电技术基础,高等教育出版社九、参考资料杨小牛,楼才义等。软件无线电原理与应用,电子工业出版社,2001年1月通信信号处理及传输实验指导3第二部分实验设备介绍通信信号处理实验是基于可编程的、可设计的、模块化软件无线电硬件平台,通过FPGA设计、DSP程序设计来完成各项通信功能。实验设备包括PC机、软件无线电实验箱、基带信号发生器、DSP仿真器、FPGA仿真器等硬件平台,以及CCS、ISE等软件仿真平台。同时需要信号发生器、示波器等测试仪器。其中软件无线电试验箱是整个实验的基本平台。21软件无线电实验箱软件无线电试验箱主要着重软件无线电系统若干关键技术的演示和开发。主要包括内容有波束形成、数字滤波器、上/下变频、软件无线电中的数字载波调制、软件无线电中的信号处理算法、无线信道中通信性能分析、软件无线电中的信道编码算法、射频及模拟前端等。实验系统平台如图21所示。图21软件无线电实验平台系统特点软件无线电实验平台的最大特点是实现了全数字系统。系统的架构合理,结构简洁,整体上采用ARMDSPFPGARF的构架,可以实现任何典型的无线通信方式。同时实验平台配有功能强大的标准基带信号发生器,用来产生各种调制信号,模拟各种无线信道对信号的影响,使学生可以直观地体验到无线信道对不同调制方式的影响。通信信号处理及传输实验指导4实验平台硬件是开放的,可以进行二次开发和创新开发。可以对平台中的ARM、DSP、FPGA进行编程形成新的应用和研究系统。系统结构软件无线电实验平台的系统结构如图22和图23所示。图22软件无线电实验平台的系统结构RF70M26GXCHAINRF70M26GTXCHAINSWITCHANTEAFPGAMODULEXILNX/LTRALPFLOWPASFILTERLPFLOWPASFILTERDACONVERTOADCONVERTOGAINDPOWERCOTRLMCUEXTNSIOBARDDSPYSTEMMODULETM320C6713ARM9SYSTEMMODULEETHERNTUBARTLCD图23软件无线电实验平台的系统框架结构硬件平台射频模块OURSSDR0701BP射频子系统采用流行的直接变频技术,形成零中、低中频通信信号处理及传输实验指导5通信系统。零中频和低中频通信系统是现在主流的数字通信和移动通信的射频架构。具备可以调节的发射功率,可以调节的接受增益,方便形成AGC环路。RFMODULE频段800950MHZ标配发射功率10DBM工作方式半双工接收灵明度0ADC_I0通信信号处理及传输实验指导17ADC_Q0IQ_SEL_INTREBUILDALL,编译完成后选择FILELOADPROGRAM找到ARM_DSP_HPIOUT选择打开,通过JTAG下载DSP程序;E运行DSP程序DEBUGRUN;F在界面数据输入框内输入020个数据,按ENTER,此时在ARM端接收界面会显示经过DSP处理的数据;输入数据显示数据注DSP端程序在输出BUFFER的数据格式必须为第一个INT为数据长度,并且数据长度不能大于40(否则数据在ARM端不能全部显示)。(2)DSP与ARM的通信实验实现A打开主程序文件MAINC;B修改原程序中PMOVEDDATAPDATA为PMOVEDDATAPDATA1;通信信号处理及传输实验指导19C在CCS中编译运行程序,重新在数据输入界面输入数据按ENTER;D在ARM端应用程序界面的右边数据显示栏观察结果,记录实验现象(左边数据加1)输入数据显示数据(3)DSP与ARM的通信扩展实验通过修改SETHINT在程序中的位置来修改DSP端何时发送HPI中断给HOST端。内容实现10000个循环延时后发送中断。修改代码如下修改完成后在CCS中编译运行程序,在ARM应用程序界面中重新输入数据按ENTER。观察ARM应用程序右边数据接收窗口,记录观察结果(数据更新速率应当降低)输入数据显示数据2FPGA控制AD、DA实验(1)FPGA控制AD、DA过程演示实验A编译AD_DA_LOOP工程,编译完后将BIT文件下载到FPGA中;通信信号处理及传输实验指导20B连接信号发生器到平台的RXI,对信号发生器进行设置产生500KHZ的正弦信号,然后发送;C在ARM端应用程序界面进入扩展实验FPGA扩展实验FPGA控制AD/DA实验,按ENTER然后用示波器观测平台的TXI、TXQ发出的波形。(2)改变DA控制程序,使DA的I路输出单频正弦波。六、分析和思考简述DSP的MCBSP工作原理。七、实验器材(设备、元器件)计算机、软件无线电实验箱、信号发生器、示波器、DSP仿真器、FPGA仿真器、5V电源八、所需主要元器件及耗材连接线、计算机串口连接线九、学时数4通信信号处理及传输实验指导21实验二脉冲成型实验一、实验名称脉冲成型实验二、实验目的1理解脉冲成形工作原理,加深对奈奎斯特准则的理解。2研究几种常用脉冲成形(半正弦、滚降系数05和08的升余弦滚降)中的信号波形与频谱,了解基带信号的连续发送波形。3学会观察信号频谱,分析不同脉冲成形滤波后发送信号的频谱效率。4能够基于ISE编写并调试FPGA脉冲成型程序。三、实验原理1、脉冲成型的理论基础在现代无线通信中,由于基带信号的频谱范围都比较宽,为了有效利用信道,在信号传输之前,都要对信号进行频谱压缩,使其在消除码间干扰和达到最佳检测的前提下,大大提高频带的利用率。奈奎斯特是第一个解决既能克服符号间干扰又保持小的传输带宽问题的人。他发现只要把通信系统包括发射机、信道和接收机的整个响应设计成在接收端的每个抽样时刻只对当前的符号有响应,而对其他符号的响应全等于零,那么符号间干扰ISI的影响就能完全被抵消,即消除符号间干扰的奈奎斯特NYQUIST第L准则。如图1所示。0TSTT2T2TTHT图1无码间串扰示意图通信信号处理及传输实验指导22在理论上,NYQUIST第L准则成功地解决了成形滤波器的设计问题,但是它只给出了一个抽象的理论准则,而对于如何具体设计成形滤波器并没有一个明确的答案。由于数字技术的发展,基带信号的频谱成形可通过数字方法进行。利用数字式处理来实现频谱波形成形滤波的情况越来越广泛。数字滤波具有精度高、可靠性高、灵活性强、便于大规模集成、可以得到很高的性能指标等优点,可实现有限冲激响应FLR滤波器或无限冲激响应滤波IIR滤波器。FIR滤波器可做到严格的线性相位,设计方法既有从时域出发考虑的加窗法,从频域出发考虑的频率采样法、等波纹最佳一致逼近法,也有综合考虑频域和时域要求的最优化设计方法(线性规划法。在实际应用中,升余弦滤波器是运用较广泛的成形滤波器,因为它有如下的优点1)满足NYQUIST第1准则;2)可以消除理想低通滤波器设计上的困难,有一平滑的过渡带;3)通过引入滚降系数改变传输信号的成形波形,可以减小抽样定时脉冲误差所带来的影响,即降低码间干扰。升余弦滤波器的传递函数为(21)SSSSRCTFFTFFH2/10/21CO12/0其中,是滚降因子,取值范围0到1。当时,升余弦滚降滤波器对应0于具有最小带宽的矩形滤波器。这种滤波器的冲激响应可由对其传递函数做傅里叶变换得到(22)2/41COS/SINSSRCTTTTTH通信信号处理及传输实验指导23001P/2T3/2T/T2/T10806420051PT100512323T/TAB0051HH图2升余弦滤波器的频域传递函数及时域冲激响应图2是升余弦滤波器的频域传递函数及时域冲激响应,其中(A)是频域传递函数,(B)是时域冲激响应。HHT升余弦滚降传递函数可以通过在发射机端和接收机端使用同样的滤波器来实现,同时在平坦衰落信道中为实现最佳性能提供了匹配滤波。为实现滤波器的响应,脉冲成形滤波器可以用在基带数据上,也可以使用在发射机的输出端。图3就是将输入随机序列1,1通过05升余弦滤波器后的脉冲成型波形。051015202530354045501050051余余余余010203040506021012余余余余05余余余余余余余余余余图3滚降系数05的升余弦脉冲成型波形通信信号处理及传输实验指导24不使用奈奎斯特技术来实现脉冲成形是有可能的,其中一项就是MSK调制方式的应用,与OQPSK调制方式结合的效率较高的半正弦脉冲调制。通过截短的传递函数来抑制相邻符号间的干扰。由于使用更短的截短传递函数,半正弦脉冲成形后的信号频谱相对升余弦滚降成形的信号频谱来说,主瓣较宽,旁瓣较高,频谱效率较低。2、VHDL实现脉冲成型在程序中,我们首先产生升余弦滤波器系数,再将输入数据滤波输出,即可得到我们期望的滚将系数(05或08)的升余弦信号成型波形。因此,这里重点就是如何生成各种滚降系数的升余弦滤波器系数。(1)利用MATLAB生成升余弦滚降滤波器系数在MATLAB中,可以利用函数RCOSINE得到升余弦滤波器系数,格式如下NUM,DENRCOSINEFD,FS,TYPE_FLAG,R,DELAY其中,FD表示数字信号频率;FS表示滤波器采样频率,且FS/FD必须是一个正整数。TYPE_FLAG表示设计的滤波器的类型,可以是IIR、SQRT、或者它们的组合IIR/SQRT,也可以是常规类型NORMAL。R表示滚降系数,取值范围是0,1。DELAY表示滤波器延迟,必须为一个正整数,DELAY/FD可以得到以秒为单位的滤波器延迟。我们以产生08滚降系数的升余弦滤波器为例,FD1;FS8;TYPE_FLAG取“FIR”,即可得到49个滤波器系数00000000120003600064000850008800068000320000000000000580018500361005300060000460000000085202108036960546507202086710965410000096540867107202054650369602108008520000000460006000053000361001850005800000000000003200068000880008500064000360001200000(2)将升余弦滤波器系数转换为VHDL数组取滤波器对应的单位冲激响应正负两个第二零点之间的33个样本(941,包括左右两个0),然后进行幅值放大(如这里调整为原始系数100)并取为整数,通信信号处理及传输实验指导25最后再转换为二进制数,就得到一个包含33个10BIT的向量的数组,由此来近似FIR形式的升余弦滚降滤波器。CONSTANTRCOSINE_EIGHTYPS_ARRAY32DOWNTO0“0000000000“,“1111111111“,“1111111111“,“1111111110“,“1111111100“,“1111111010“,“1111111001“,“1111111011“,“0000000000“,“0000001000“,“0000010101“,“0000100100“,“0000110110“,“0001001000“,“0001010110“,“0001100000“,“0001100100“,“0001100000“,“0001010110“,“0001001000“,“0000110110“,“0000100100“,“0000010101“,“0000001000“,“0000000000“,“1111111011“,“1111111001“,“1111111010“,“1111111100“,“1111111110“,“1111111111“,“1111111111“,“0000000000“注这里的二进制数为补码表示。(3)将信号滤波输出,即得到脉冲成型信号脉冲成形的过程,其实就是输入数据DIN和升余弦滚将滤波器的时域响应进行卷积的过程。在源代码中,合法的输入DIN(长度为2BIT)一共有三种通信信号处理及传输实验指导2600、01、11,分别对应的是0、1和1。对于每一次的输入DIN,在经过和滤波器系数FILTER_COEF(长度为10BIT)卷积后,都会得到一个对应的输出FILTER_DOUT(长度为10BIT)。利用卷积等效于错位相乘再累加的原理,我们对于每一次的输入,都调用函数SIGNED_MUL来得到DIN和滤波器系数(PS_ARRAY)分别相乘的结果,分别存储在33个变量R0,R1,R2,R3,R4,R5,R6,R7,R8,R9,RA,RB,RC,RD,RE,RF,R10,R11,R12,R13,R14,R15,R16,R17,R18,R19,R1A,R1B,R1C,R1D,R1E,R1F,R20中,为接下来将要进行的累加操作做好准备。设置33个中间变量H0,H1,H2,H3,H4,H5,H6,H7,H8,H9,HA,HB,HC,HD,HE,HF,H10,H11,H12,H13,H14,H15,H16,H17,H18,H19,H1A,H1B,H1C,H1D,H1E,H1F,H20,进行赋值相叠累加H0OFSTD_LOGIC_VECTOR9DOWNTO0TYPEUC_SMACHINEISUC_IDLE,UC_TRANSMITCONSTANTMODULATION_SIGNALUC_ARRAY0TO15FREQUENCY1MHZSIGNALUC_STUC_SMACHINESIGNALUC_FLAGSTD_LOGICSIGNALCHIP_CNTSTD_LOGIC_VECTOR4DOWNTO0SIGNALCHIP_CNTSTD_LOGIC_VECTOR4DOWNTO0SIGNALUC_WAVESTD_LOGIC_VECTOR19DOWNTO0SIGNALV_ORIGIN,V_MODUSTD_LOGIC_VECTOR9DOWNTO0SIGNALUC_TMPSTD_LOGIC_VECTOR9DOWNTO0BEGINV_ORIGIN0通信信号处理及传输实验指导35UC_WAVE0UC_TMPIFUC_EN1THENUC_ST0UC_TMPIFSAMPLE_EN1THENCHIP_CNT扩展实验FPGA扩展实验上下变频实验;(1)数字上变频A、选择“2”进入数字上变频实验;通信信号处理及传输实验指导37B、在按“确认(回车)”后,进入数字上变频实验仿真实验窗口;C、将能产生正弦信号的函数信号发生器与实验平台的RXI端口连接,正弦单频信号的幅度不要超过2V,信号频率设为1MHZ;D、按照软件无线电实验平台软件界面的提示进行后续操作;E、将示波器分别观测实验平台TXI和TXQ端口,通过示波器观察输出波形和频谱。根据示波器上产生波形的频谱图,观察并记录发送波形经过上变频后的频谱特征谱线个数谱线分别所处频段(KHZ)原始单频信号上变频后信号(2)数字下变频A、选择“3”进入数字下变频实验;B、在按“确认(回车)”后,进入数字下变频实验仿真实验窗口;C、将能产生正弦信号的函数信号发生器与实验平台的RXI端口连接,正弦单频信号的幅度不要超过2V,信号频率设为1MHZ;D、按照软件无线电实验平台软件界面的提示进行后续操作;E、将示波器分别观测实验平台TXI和TXQ端口,通过示波器观察输出波形和频谱。根据示波器上产生波形的频谱图,观察并记录发送波形经过上变频后的频谱特征谱线个数谱线分别所处频段(KHZ)原始单频信号上变频后信号通信信号处理及传输实验指导382数字上/下变频扩展实验A、在ISE中编译UC_DC工程,然后通过JTAG仿真口将比特文件下载到FPGA中;B、用信号发生器发送600KHZ正弦波到平台的RXI端口;C、在ARM控制端的显示界面中按ENTER;D、用示波器观测平台的TXI、TXQ端口,查看变频前后的波形和频谱。E、改变数字调制信号频率,将代码中固化的1MHZ的正弦信号换为2MHZ,并采取相同的幅度放大。(提示511SIN2PIFN/FS511SIN2PIN/8,其中N的取值是从0到7,将8个样本值转换成二进制数。具体的样本请学生自行完成。六、分析和思考通信信号处理及传输实验指导39自行编写下变频的FPGA程序。七、实验器材(设备、元器件)计算机、软件无线电实验箱、信号发生器、示波器、DSP仿真器、FPGA仿真器、5V电源八、所需主要元器件及耗材连接线、计算机串口连接线九、学时数4学时通信信号处理及传输实验指导40实验四基带载波调制技术实验一、实验名称基带载波调制技术实验二、实验目的1研究相移键控的线性载波调制技术原理;分析二进制相移键控BPSK、四进制相移键控QPSK技术的原理;分别观察BPSK、QPSK调制信号的波形及频谱,比较BPSK和QPSK两种线性调制技术间的功率效率和数据带宽比。2研究差分相移键控的线性载波调制技术原理;分析差分二进制相移键控DBPSK、差分四进制相移键控DQPSK技术的原理;分别观察DBPSK、DQPSK调制信号的波形及频谱,比较DBPSK和DQPSK两种线性调制技术间的功率效率和数据带宽比。3研究恒包络线性载波调制技术原理;熟悉频移键控FSK、最小频移键控MSK、高斯最小频移键控GMSK技术的原理;分别观察FSK、MSK、GMSK调制信号波形及频谱。4掌握如何用FPGA来实现BPSK、QPSK、FSK、MSK调制三、实验原理1、基带线性载波调制技术原理数字信号载波调制有三种基本的调制方式幅度键控(ASK),频移键控(FSK)和相移键控(PSK)。它们分别是用数字基带信号控制高频载波的参数如振幅、频率和相位,得到数字带通信号。在接收端运用相干或非相干解调方式,进行解调,还原为原数字基带信号。数字调制技术可以大致分为线性和非线性的。在线性调制技术中,传输信号的幅度随调制数字信号的变化而线性变化。线性调制技术带宽效率较高,TSTM所以非常适用于有限频带内要求容纳越来越多用户的无线通信系统。在线性调制方案中,传输信号可以表示为TS通信信号处理及传输实验指导41TFJCEMATS2R(41)TFTTFTCIC2SINOS其中,是信号幅度,是载波频率,是通常为复数形CFTJMTTIR式的已调信号的复包络。可见,载波幅度随调制信号呈线性变化。线性调制方案一般来说都不是恒包络。有些非线性调制的载波,即可能是线性包络也可能是恒包络,这取决于基带波形是否经过脉冲成形处理。线性调制方案有很好的频谱效率,但传输中必须使用功率效率低的RF放大器。用功率效率高的非线性放大器会导致已滤除的边瓣再生,造成严重的相邻信道干扰,使线性调制得到的频谱效率全部丢失。最普遍的线性调制技术包括脉冲成形BPSK、QPSK和OQPSK。(1)、二进制相移键控(BPSK)在二进制相移键控中,幅度恒定的载波信号随两个代表二进制1或0的信号和的改变而在两个不同的相位间跳变。通常这两个相位差180。如果正弦载M2波的幅度为,每比特能量,则传输的BPSK信号为CABCBTAE21(二进制的1)(42)0OS2TFTTSCBBPKBTT或者(43)002COS2COSTFTETFTETSCBCBBPK(二进制的0)T出于方便,经常将和一般化为二进制数据信号,它呈现两种可能的1M2TM脉冲波形中的一种。这样传输信号可以表示为(44)02COSTFTETSCBBPK通信信号处理及传输实验指导42BPSK信号等效于抑制载波双边带调幅波形,其中相当于载波,数据TFC2OS信号相当于调制波形。TM(2)、四相相移键控(QPSK)四相相移键控是在一个调制符号中传输两个比特,因此其带宽效率比BPSK的带宽效率高两倍。载波的相位为四个间隔相等的值,比如0、和,2/2/3每一个相位只对应唯一的一对消息比特。这个符号状态集的QPSK信号可定义为(45)212COSITFTETSCQPK4,1,0ITTS其中,为符号持续时间,等于两个比特周期。SQPSK信号可以表示为二维星座图上幅度为,相位分别0、和SE2/的信号。从QPSK信号的星座图可以看出,星座中相邻点的距离为。因2/3SE为每个符号对应于两个比特,所以,这样QPSK星座中相邻两点的距离为BS2。BE相对BPSK调制方式,QPSK在相同的带宽内传输了两倍的数据,因此在相同的能量效率情况下,QPSK提供了两倍的频谱效率。(3)差分PSK差分PSK是相移键控的非相干形式,它不需要在接收机端有相干参考信号。非相干接收容易制造而且便宜,因此在无线通信系统中广泛使用。在DBPSK或者DQPSK系统中,输入的二进制序列差分编码,然后再用BPSK或者QPSK调制器调制。差分编码后的序列是通过对与进行模2运算,由输入的二进制序列KDKM1D产生的。其效果相当于,如果输入的二进制符号为1,则符号与其前一KDKKD个符号保持不变,而如果为0,则就改变一次。表41给出了按照关系式KK由序列中产生的DPSK信号。1KKMK表41差分编码过程的图解通信信号处理及传输实验指导43KM100101101D11011000K110110001DPSK发射机包括一个比特延迟单元和一个为了从输入二进制序列产生分编码的逻辑电路,其输出通过一个乘法调制器得到DPSK信号。在接收机端,通过相应的处理过程,从调制的差分编码信号恢复出原始信号。2、基带恒包络线性载波调制技术许多实际的移动无线通信系统都使用非线性调制方法,这时不管调制信号如何改变,载波的幅度是恒定的。恒包络调制具有可以满足多种应用环境的优点,其中可以使用功率效率高的C类放大器,而不会使发送信号占有的频谱增大;带外辐射低,可达60DB至70DB;可用限幅器鉴频器检测,从而简化接收机的设计,并能很好地抵抗随机噪声和由RAYLEIGH衰落引起的信号波动。恒包络调制有很多优点,但他们占用的带宽比线性调制大。(1)、频移键控(FSK)在频移键控调制系统中,幅度恒定不变的载波信号的频率随着可能的信息状态而切换,以2FSK为例,信息状态由二进制1和0表示,分别对应某个载波频率。根据频率变化影响发射波形的方式,FSK信号在相邻的比特之间,通常呈现连续的相位。通常,2FSK信号的表达式为(二进制的1)(46)FTTFTETSCBFK2OS2BTT0或者(二进制的0)(47)COS2BFSKCTFTBTT其中代表信号载波的恒定偏移。F2一种简单的产生FSK信号的方法是,依照数据比特是0还是1,在两个独立的振荡器中切换。通信信号处理及传输实验指导44更常用的产生FSK信号的方法是,使用信号波形对单一载波振荡器进行频率调制。这种调制方法类似于生成模拟FM信号,只是调制信号为二进制波形。因TM此,2FSK可表示为TFTETSCBFK2OS(48)DMKTFFCB(2)、最小频移键控(MSK)相移键控和正交幅度键控信号在码元交替处发生相位突变,这意味着已调信号的功率谱的高频含量较大,这种信号通过频带受限信道,因高频分量被滤除和非线性,使信号的包络产生起伏,从而影响信号的解调质量。下面将讨论的MSKMINIMUMFREQUENCYSHIFTKEYING是二进制连续相位FSK的一种特殊形式。连续相位频移键控信号具有恒包络,频谱旁瓣小,抗干扰性能也较强,因此在卫星通信和移动通信中应用较多。最小频移键控MSK是一种特殊的连续相位的频移键控CPFSK,其最大频移为比特率的1/4。换句话说,MSK是调制系数为05的连续相位的FSK。FSK信号的调制系数类似于FM调制系数,定义为,其中是最大射频频移,BFSKRK/2F是比特率。调制系数05对应着能够容纳两路正交FSK信号的最小频带,最小频BR移键控的由来就是指这种调制方法的频率间隔带宽是可以进行正交检测的最小带宽。如果(49)TLHTV00则两路FSK信号和是正交的。TVHTLMSK有时称为快速FSK,因为其使用的频率空间仅为常规非相干FSK空间的一半。MSK是一种高效的调制方法,特别适合在移动无线通信系统中使用。它有很多好的特性,例如恒包络、频谱利用率高、误比特率低和自同步性能。通信信号处理及传输实验指导45MSK信号也可以看成是一类特殊形式的OQPSK。在MSK中,OQPSK的基带矩形脉冲被半正弦脉冲取代。这些脉冲在周期中形状类似于STLOUIS曲线。考虑NBT2比特流交错的OQPSK信号。如果用半正弦脉冲代替矩形脉冲,调制信号即为MSK信号,N比特流的表达式为(410102COSNICBIMSKTFITTPMT102COS2NICBQTFTITPM)其中(411)OTHERTTTPBB022SIN其中和分别是双极性数据流的“奇比特“和“偶比特“,以的速TMIQT2/BR率输入解调器的同步积分环路。应当注意MSK信号有很多种形式。例如,一种MSK信号仅使用正的半正弦脉冲作为基本脉冲,另一种可能会使用正负交替变化的半正弦脉冲为基本脉冲信号。然而,所有的MSK信号都是相位连续的FSK信号,使用不同的技术以有效地利用频谱。MSK信号可看作一种特殊形式的连续相位的FSK信号,MSK信号具有恒定幅值。通过选定载波频率为四分之一比特率1/4T的整数倍,可以保证MSK信号在比特转换处的相位连续性。MSK信号具有以下特点1已调信号的振幅是恒定的;2信号的频率偏移严格地等于相应的调制指数为05;3以载波相位为基准的信号相位在一个码元BT4/1期间内准确地线性变化;4在一个码元期间内,信号应包括上载波周期的整2/数倍;5在码元转换时刻信号的相位是连续的,或者说信号的波形没有突跳。(3)、高斯最小频移键控(GMSK)在数字移运通信中进行高速率数据传输时,为了满足邻道带外辐射功率低于8060DB的指标,要求信号要有更加紧凑的功率谱。通过前面的介绍,从MSK信号的功率谱可以看出。MSK信号仍不能满足这样的要求。高斯最小频移键控GMSK就是针对上述要求提出来的。GMSK调制方式能通信信号处理及传输实验指导46满足移动通信环境下对邻道干扰的严格要求,以其良好的生能在公共移动通信系统中得到了广泛应用,并且被确定为欧洲新一代移动通信的标准调制方式。为了减小已调波的主瓣宽度和邻道的带外辐射,在平滑调频TFM调制方式中调制前对基带信号进行了相关编码处理。如果调制前对基带信号进行高斯滤波处理也能达到上述目的。这就是另一种在移动通信中得到广泛应用的恒包络调制方法带高斯滤波的最小频移键控,简称高斯最小频移键控。GMSK的基本原理是让基带信号先经过高斯滤波器滤波,使基带信号形成高斯脉冲之后进行MSK调制。由于滤波形成的高斯脉冲包络无陡峭的边沿,亦无拐点,所以经调制后的已调波相位路径在MSK的基础上进一步得到平滑。GMSK将MSK信号的相位路径的尖角平滑掉了,因此频谱特性优于MSK和SFSK。采用高斯滤波器进行预凋制滤波是因为高斯滤波器具有以下特性1窄带锐截止特性,以便抑制高频分量;2冲激响应过冲量小,以防止过大的瞬时频偏;3滤波器输出冲激响应曲线下的面积对应于的相移,以使调制指数为05高斯低2/通滤波器的单位冲激响应为(412)2EXPTTH显然不是时限的,但是随按指数规律迅速下降,可近似认为其宽度有限。TH2T傅里叶变换后可得(413)2EXPFFH高斯预调制滤波器的脉冲响应(414)22EXP1BTDTG3MSK调制的FPGA程序示例I_SK_SENDINGPROCESSRST,CLKBEGINIFRST1THENSK_ST_I0SK_DQ0CHIP_I0SK_TP_IIFMSK_EN1THENSK_DI0WHENSK_TRANSMITIFSAMPLE_EN1THENI_SAMPLE_CNT0Q_TP_Q20Q_TP_Q30Q_TP_Q40ELSIFCLKEVENTANDCLK1THENIFSAMPLE_EN1THENQ_TP_Q1扩展实验FPGA扩展实验基带信号调制实验;B打开ISE81OPENPROJECT打开FPGA_MODULATE工程;C在MODULA_MAINVHD中,确定CONSTANTMODULATION_SELSTD_LOGIC_VECTOR3DOWNTO0“0001”D编译程序后下载BIT文件到FPGA中E从应用程序界面输入数据,按确认发送,用示波器观察平台TXI、TXQ输出信号波形。(2)FPGA基带信号调制扩展实验通信信号处理及传输实验指导521)在MODULA_MAINVHD中找到如下语句CONSTANTMODULATION_SELSTD_LOGIC_VECTOR3DOWNTO0“0001”为CONSTANTMODULATION_SELSTD_LOGIC_VECTOR3DOWNTO0“0010”重新编译工程,然后下载BIT文件到FPGA,重新在ARM端输入数据,按ENTER发送数据。用示波器观测高级软件无线电平台的TXI、TXQ端口,根据波形可判断此时调制为2)修改CONSTANTMODULATION_SELSTD_LOGIC_VECTOR3DOWNTO0“0001”为CONSTANTMODULATION_SELSTD_LOGIC_VECTOR3DOWNTO0“0100”重新编译工程,然后下载BIT文件到FPGA,重新在ARM端输入数据,按ENTER发送数据。用示波器观测高级软件无线电平台的TXI、TXQ端口,根据波形可判断此时调制为3)修改CONSTANTMODULATION_SELSTD_LOGIC_VECTOR3DOWNTO0“0001”为CONSTANTMODULATION_SELSTD_LOGIC_VECTOR3DOWNTO0“1000”重新编译工程,然后下载BIT文件到FPGA,重新在ARM端输入数据,按ENTER发送数据。用示波器观测高级软件无线电平台的TXI、TXQ端口,根据波形可判断此时调制为4)打开FSK_MODULATIONVHD文件,分析基于FPGA的FSK调整程序。解释变量CHIP_CNT的作用。如果要改变FSK调制中的载波频率,应当如何改动程序。通信信号处理及传输实验指导535)试将FSK中的载波频率分别改为1MHZ和500KHZ。六、分析与思考理解数字通信中波特率、比特率、频道带宽的关系。七、实验器材(设备、元器件)计算机、软件无线电实验箱、示波器、DSP仿真器、FPGA仿真器、5V电源八、所需主要元器件及耗材连接线、计算机串口连接线九、学时数4学时通信信号处理及传输实验指导54实验五基带载波解调技术实验一、实验名称基带载波解调技术实验二、实验目的1分析二进制相移键控BPSK、四进制相移键控QPSK解调技术的原理;熟悉BPSK、QPSK接收机的结构;分别观察BPSK、QPSK解调数据的结果;熟悉数据发送与解调之间的关系。2分析差分二进制相移键控DBPSK、差分四进制相移键控DQPSK解调技术的原理;熟悉DBPSK、DQPSK接收机的结构;分别观察DBPSK、DQPSK解调数据结果;熟悉数据发送与解调之间的关系。3分析频移键控FSK、最小频移键控MSK、高斯最小频移键控GMSK解调技术的原理;分别观察MSK的解调结果。4掌握如何用DSP来实现BPSK、QPSK、FSK、MSK解调三、实验原理1、基带线性载波解调技术原理数字信号载波调制有三种基本的调制方式幅度键控(ASK),频移键控(FSK)和相移键控(PSK)。它们分别是用数字基带信号控制高频载波的参数如振幅、频率和相位,得到数字带通信号。在接收端运用相干或非相干解调方式,进行解调,还原出原数字基带信号。解调的最终目的是消除频差项,判决出正确的码元数据。如果能跟踪相位的变化,并且得出正确的相位估计值为0(51)02NF那么消除由于的存在而引入的调相可以通过坐标旋转而获得,即0F(52)SINCOQNI通信信号处理及传输实验指导55(53)SINCOINQ其中,、是和经过旋转角而得到的数据输出。NII解调器的工作原理就是用估计出的相位对接收数据进行坐标旋转变换,消除和两个因子,提取出传输的数据,从而完成解调过程。02COSNF02SINF旋转变化运算中,相位估计与解调器性能有很大关系,它的跟踪性能直接关系到解调器性能的优劣。通常设解调器都采用锁相环,以实现性能较好的相干解调。(1)二进制相移键控(BPSK)对于BPSK调制方式,如果没有信道引入的多径损耗,接收的BPSK信号可表示为CHCBBPSKTFTETM02OS(54)TFTCB其中对应于信道中时间延迟造成的相移。BPSK使用相关,或者叫同步的CH解调方法,这要求在接收机端获知载波的相位和频率信息。如果和BPSK信号同时传输一个低幅值的载波导频信号,可以用COSTAS环或者平放环从接收到的BPSK信号中,恢复同步载波的相位和频率。图12PSK相干接收系统框图接收信号与本地载波相乘后,通过低通滤波器提取出与发送信息有关的低频信号,并抑制载波和信道相位对接收信号相位信息的影响。再根据低通滤波器后输出信号的相位来判断发送信息是1或者0,从而实现数据解调。(2)四相相移键控(QPSK)通信信号处理及传输实验指导56就如同QPSK调制方式可以等效看成是同相和正交两路分别进行BPSK一样,QPSK的相干解调可以看成是与BPSK解调方法原理相同,在抑制掉载波相位和信道相位的影响后,同相与正交两路分别进行与BPSK解调。在完成QPSK解调后,对解调信号的同相和正交解调码元进行组合从而得到最后的解调信息。(3)差分PSK差分PSK是相移键控的非相干形式,它不需要在接收机端有相干参考信号,性能会有3DB的下降。图2差分相干接收系统框图DPSK接收机包括一个比特延迟单元和一个为了从重组解调输出的二进制序列差分编码的逻辑电路,从调制的差分编码信号恢复出原始信号。2、基带恒包络线性载波解调技术(1)频移键控(FSK)以2FSK的相干解调为例,其接收机由两个乘法器,分别与本地信号相乘。输出的相干值进行比较,取值较大的支路对应的本地频率即为当前解调信号的调制频率,再根据该频率对应的码元信息进行解调。该接收机的构成如下图32FSK相干接收系统框图(2)最小频移键控(MSK)通信信号处理及传输实验指导57MSK接收机接收到的信号分别与同相和正交载波分量相乘,乘法器的输出经两个比特的周期积分后,在每两比特结束时送入判别器。根据积分器输出电平的大小,阀值检测器决定信号是0或1。再根据输出数据流的先后顺序组合得到解调信号。由于MSK信号调制指数较小,采用一般鉴频器方式进行解调误码率性能不太好,在对误码率有较高要求时大多采用相干解调方式。(3)高斯最小频移键控(GMSK)GMSK的解调可以使用正交相干检测器,或者使用简单的非相干检测器,如标准FM鉴别器。载波恢复有时由DEBUDA方法实现两路不连续频率分量之和经过倍频后再除以4。该方法类似于COSTAS环路,并且等效于带有倍频器的锁相环。这种接收机可以很容易的利用数字逻辑实现。两个D边沿触发器作为积分解调器,或者非门作为基带乘法器。正交相干载波由两个D边沿触发器生成,压控振荡器的中心频率设定为载波中心频率的4倍。3基带载波解调技术实验结构基带载波解调技术实验系统主要包括三部分PC机、基带信号发生器、示波器以及软件无线电实验平台。图1无线信道性能测试结构(1)PC机基带信号发生器用户图形界面(GUI)根据测试的不同需求,设置所需信号的信号发生器用户图形界面(GUI)。如图2所示。在该界面可以选择不同的调制方式、模拟多种无线信道环境以及改变发送数通信信号处理及传输实验指导58据的编码方式等等。图2基带信号发生器用户图形界面(2)基带信号发生器(BSG)这里的基带信号发生器是一款基于包传输格式的基带信号发生器。它的主要特点是能够产生FSK、MPSK、BPSK、DBPSK、MSK、GMSK等多种调制方式的基带发送信号;并能够模拟多种具体实现产生的系统影响和实际信道影响模型,来帮助构建真实的无线通信网络环境。从而使不可控制且又存在系统和信道影响的复杂通讯环境,能够根据实际测试需要人为加以控制。基带信号发生器I/Q两路发射信号端口采用的是标准BNC接口。(详细的使用说明请参考附录)(3)示波器将基带信号发生器产生信号送入示波器中,来观察各种调制方式的基带信号。通信信号处理及传输实验指导59(4)软件无线电实验平台软件无线电实验平台调用DSP程序,对上述基带信号发生器产生的信号进行解调,并基于ARM界面显示出解调后的数据。测试系统工作流程如下A将BSG的网口与PC机的网口通过以太网线连接好。B将BSG的I/Q两路发射信号端与软件无线电解调系统通过所配的屏蔽线连接。C运行基带信号发生器用户图形界面GUI。D通过BSGGUI对BSG硬件进行网络设备配置,确保BSG硬件能与PC机正常通信(具体方法参见基带信号发生器用户图形界面(GUI)使用简介)。E根据测试需求,在GUI上设置所需测试环境信号的参数。F发送信号,BSG根据GUI上设置的参数产生响应的I/Q两路发射信号,在GUI上可以观察到统计的发射数据帧情况以及PPDU的内容。G用示波器观察BSG发送的基带调制信号。H在软件无线电解调系统的液晶屏上观察接收到的数据。4BPSK解调的DSP程序示例DSP的BPSK解调程序关键代码如下FILTERLENGTINT2SHAPEFILTERLSAMPLINGRATE1GENSHAPEFILTERFORJ0J0DECODEDATAI1通信信号处理及传输实验指导60ELSEDECODEDATAI0四、实验内容(1)基带载波解调技术基础实验;分析并观察BPSK、QPSK、DBPSK、DQPSK、MSK调制波形及解调结果;(2)基于DSP的信号调制实验;基于CCS编程实现QPSK调制信号的解调。五、实验步骤本实验由基带信号发生器产生各种调制信号,并送入到软件无线电实验平台,使用DSP实现对各种调制信号的解调。1基带载波调制技术解调实验通过实验平台的菜单窗口提示,利用键盘选择菜单内容,逐级进入该实验操作界面,在实验平台观察解调数据结果。具体步骤如下检查实验平台左上方和右下方的POWERSWITCH是否处于关闭(OFF)状态;检查实验平台的电源线是否连接正确,若连接正确,实验平台右下方的POWERREADY指示灯会亮起;将实验平台左上方的POWERSWITCH置为开启(ON)状态,实验系统进入启动状态,观察实验平台中部的显示屏直至进入“高级软件无线电教学系统”;按下“确认(回车)”键进入系统实验列表;选择“2”按ENTER键,进入基带实验列表;选择“2”按ENTER键,屏幕显示“进入实验中,请稍候”提示框,直至进入基带解调实验列表;将信号发生器接上电源,并开启;将信号发生器的I和Q路端口分别与实验系统底部的RXI和RXQ连接;通信信号处理及传输实验指导61启动终端计算机,打开信号发生器软件;(1)BPSK解调实验点击计算机端信号发生器软件窗口工具栏中的网络连接标志(网络配置请参考信号发生器使用手册),观察窗口右下端,当显示“OPEN”时表示网络已连接通,如图4所示。图4连接状态指示在信号发生器软件的参数控制窗口中调制方式选择“BPSK”,数据长度选择“20”字节,数据产生模式选择“RANDOMDATA”,发送时间间隔输入“1000”,数据包传输模式“AUTO”,如图5所示;图5参数设置点击信号发生器软件的发送按钮,在右侧的观测栏可以看到数据包数的递增,表示信号已在发送,如图6所示;通信信号处理及传输实验指导62图6标准信号发生器发送信息统计选择“1”按ENTER键进入BPSK解调实验,实验平台显示BPSK实验链接提示,利用“下翻键”查看提示内容,按照软件无线电实验平台界面的提示进行后续操作;提示内容最后页按ENTER键,屏幕显示“进入实验中,请稍候”提示框,直至界面出现解调数据显示框,在该窗口观察解调后的数据。对比发送数据和解调后的数据,观察并记录对比情况(2)QPSK解调实验点击计算机端信号发生器软件窗口工具栏中的网络连接标志(网络配置请参考信号发生器使用手册),观察窗口右下端,当显示“OPEN”时表示网络已连接通,如图4所示。在信号发生器软件的参数控制窗口中调制方式选择“QPSK”,数据长度选择“20”字节,数据产生模式选择“RANDOMDATA”,发送时间间隔输入“1000”,数据包传输模式“AUTO”。点击信号发生器软件的发送按钮,在右侧的观测栏可以看到数据包数的递增,表示信号已在发送。选择“2”按ENTER键进入

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论