计算机组成原理课程设计(论文)一台模型计算机设计与测试_第1页
计算机组成原理课程设计(论文)一台模型计算机设计与测试_第2页
计算机组成原理课程设计(论文)一台模型计算机设计与测试_第3页
计算机组成原理课程设计(论文)一台模型计算机设计与测试_第4页
计算机组成原理课程设计(论文)一台模型计算机设计与测试_第5页
已阅读5页,还剩14页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、辽 宁 工 业 大 学 计算机组成原理 课程设计(论文) 题目: 一台模型计算机设计与测试院(系): 电子与信息工程学院 专业班级: 计算机082 学 号: 学生姓名: 指导教师: 教师职称: 教授 助教 起止时间: 2011.1.6-2011.1.10 课程设计(论文)任务及评语院(系): 电子与信息工程学院 教研室:计算机组成原理教研室 学 号080401039学生姓名刘强专业班级计算机082课程设计(论文)题目一台模型计算机设计与测试减法指令流程课程设计(论文)任务1. 将微程序控制器同执行部件(整个数据通路)联机,组成一台模型计算机2. 用微程序控制器控制模型机数据通路3. 通过cpu

2、运行机器命令组成的简单程序,掌握机器指令与微指令的关系,牢固建立计算机的整机概念4. 用实验箱上连线,并实现所写程序段的功能。用单拍(dp)方式、单指(dz)连续方式各执行一遍程序。并将结果进行比较,分析。指导教师评语及成绩成绩: 指导教师签字: 2011 年 1 月10日目 录第1章课程设计简介11.1课题介绍11.2 减法指令流程11.3 课程设计设备11.4 减法指令流程设计任务1第2章数据通路设计32.1 运算器alu32.2 存储器32.3 输入与输出62.4 数据通路结构6第3章微程序控制器设计73.1 机器指令格式73.2 控制台7第4章机器语言程序设计114.1 机器语言程序及

3、其存储器位置、编码和数据初值的设计114.2 程序执行过程及预测结果11第5章指令流程与测试125.1 接线方法125.2设置通用寄存器r1,r0的值125.3 存程序机器代码135.4用单拍(dp)方式执行一遍程序135.5用单指(dz)方式执行一遍程序135.6用连续方式执行一遍程序14第6章总结15参考文献16第1章 课程设计简介1.1课题介绍将微程序控制器同执行部件(整个数据通路)连机,组成一台模型计算机;用微程序控制器控制模型机数据通路;通过cpu运行就跳机器指令(排除终端指令)组成的简单程序,掌握机器指令与伪指令的关系,牢固建立计算机的政绩概念。1.2 减法指令流程本次课程设计用到

4、得电路包括运算器、存储器、通用寄存器堆、程序计数器、指令寄存器、微程序控制器等,将几个模块组成为一台简单计算机。计算机模型采用了数据总线和指令总线双总线体质能实现流水控制。控制器有微程序控制器或者硬布线控制器两种类型,每种类型又有流水和非流水两种方案。寄存器堆由1片ispls11016zu组成,运算器由1片ispls11024组成。实验台上包括了1片系统编程芯片ispls11032,可用它实现硬件布线控制1.3 课程设计设备(1)tec4计算机组成原理实验系统一台(2)双踪示波器一台(3)直流万能表一只(4)逻辑测试笔一只1.4 减法指令流程设计任务1利用机器指令系统编制简单程序,要求至少使用

5、其中五条指令,对自己编制的简单程序进行译码,手工会变成十六进制机器代码。学生根据老师指定完成不同的子标题,即程序中必须包含标题类型的指令。2按图,参考组成原理实验的电路图完成连线,控制器是控制部件,数据通路是执行部件,时序产生器是时序部件。连线包括控制台、时序部分、数据通路和微程序控制器之间的链接。其中,为把操作数传给通用寄存器组rf,数据通路上的rs1、rs0、rd1、rd0应分别于ir3至ir0连接,wr1、wr0也应该接到ir1、ir0上。3.将上述任务1中的程序机器代码用控制台操作存入内存中,并根据程序的需要,用数码开关sw7sw0设置通用寄存器及内存相关单元的数据。4.用单拍(dp)

6、方式执行一遍程序,列表记录通用寄存器堆rf中寄存器的数据,以及ram中的数据,与理论分析值做对比。单拍方式执行是注意观察微地址指示灯、ir/dbus指示灯、ar2/ar1 指示灯和判断字段指示灯的值,以跟踪程序中取指令和执行指令的详细过程。5.以单指(dz)方式重新执行程序一遍,注意观察ir/dbus指示ar2/ar1指示灯的值。执行结束后,记录rf中四个寄存器的数据,以及ram中的数据,与理论分析之作对比。以连续方式(db、dp、dz都设为0)再次执行程序。折中情况相当于计算机正常运行程序。由于程序中有停机指令stp,程序执行到该指令时自动停机。执行结束后,记录rf中四个寄存器的数据,以及r

7、am中的数据,与理论分析值做对比。第2章 数据通路设计2.1 运算器alu运算器alu由一片ispls1024(u47)组成,在选择端s2、s1、s0控制下,对数据a和b进行加、减、与、直通、乘五种运算,功能如下: 选 择 操 作 s2 s1 s0 0 0 0 a & b 0 0 1 a & a(直通) 0 1 0 a + b 0 1 1 a - b 1 0 0 a(低4位) x b(低4位)图2-1 操作功能表进位c只在加法和运算和减法运算时产生。加运算中,c表示进位;减运算中,c代表借位。加、减运算产生的进位(借位)在t4的上升沿送入c寄存器保存。与、乘、直通操作不影响进位c的状态,即进位

8、c保持不变。当alu_bus=1,运算结果送往数据总线dbus。加、减运算产生的进位(借位)c与控制台的c指示灯相连。2.2 存储器1.dr1和dr2dr1和dr2是运算操作数寄存器,dr1和alu的b数据口相连,dr2和alu的a数据口相连。dr1和dr2各由2片74hc298(u23、u24、u21、u22)组成。u23是dr1的低4位,u24是dr1的高4位;u21是dr2的低4位,u22是dr2的高4位。当m1=0 且lddr1=1 时,在t3的下降沿,dr1接收来自寄存器堆b端口的数据;当m1=1 且lddr1=1 时,在t3的下降沿,dr1接收来自数据总线d_bus的数据。当m2=

9、0 且lddr2=1 时,在t3的下降沿,dr2接收来自寄存器堆a端口的数据;当m2=1 且lddr2=1 时,在t3的下降沿,dr2接收来自数据总线dbus的数据。2.多端口通用寄存器堆rf多端口通用寄存器堆rf由1片isplsi1016(u32)组成,它的功能和mc14580类似。寄存器堆中包含4个8位寄存器(r0、r1、r2、r3),有三个控制端口。其中两个端口控制读操作,一个端口控制写操作,三个端口可同时操作。rd1、rd0选择从a端口读出的寄存器,rs1、rs0选择从b端口读出的寄存器,wr1、wr0选择被写入的寄存器。wrd 控制写操作。当wrd = 0时,禁止写操作;当wrd =

10、 1 时,在t2的上升沿将来自er寄存器的数据写入由wr1、wr0 选中的寄存器。a端口的数据直接送往操作数寄存器dr2,b端口的数据直接送往操作数寄存器dr1。除此之外,b端口的数据还通过1片74hc244(u15)送往数据总线dbus。当rs_bus# = 0时,允许b端口的数据送到数据总线dbus上;当rs_bus# = 1时,禁止b端口的数据送到数据总线dbus。3.暂存寄存器er暂存寄存器er(u14)是1片74hc374,主要用于暂时保存运算器的运算结果。当lder = 1时,在t4的上升沿,将数据总线dbus上的数据打入暂存寄存器er。er的输出送往多端口通用寄存器堆rf,作为写

11、入数据使用。4.开关寄存器sw_bus开关寄存器sw_bus(u38)是1片74hc244,用于将控制台开关sw7sw0的数据送往数据总线dbus。当sw_bus# = 1时,禁止开关sw7sw0的数据送往数据总线dbus;当sw_bus# = 0时,允许开关sw7sw0的数据送往数据总线dbus。5.双端口存储器ram双端口存储器由一片idt7132(u36)及少量附加控制电路组成。idt7132是2048字节的双端口静态随机存储器,本机实际使用256字节。idt7132两个端口可同时进行读、写操作。在本机中,左端口的数据连接数据总线dbus,可进行读、写操作,右端口数据和指令总线ins连接

12、,输出到指令寄存器ir,作为只读端口使用。存储器idt7132有6个控制引脚:cel#、lrw、oel#、cer#、rrw、oer#。cel#、lrw、oel#控制左端口读、写操作,cer#、rrw、oer#控制右端口读、写操作。cel#为左端口选择引脚,低有效,为高时禁止左端口操作;lrw为高时,左端口进行读操作,lrw为低时,左端口进行写操作;oer#为低时,将左端口读出的数据放到数据总线dbus上。cer#、rrw、oer#控制右端口读、写操作的方式与cel#、lrw、oer#控制左端口读、写操作的方式类似,不过右端口读出的数据放到指令总线上而不是数据总线上。本机设计中,oer#已固定接

13、地,rrw固定接高电平,cer#由cer反相产生。当cer=1 时,右端口读出数据,并放到指令总线ins上;当cer=0 时,禁止右端口操作。左端口的oel#由lrw经反相产生,不需单独控制。当cel#=0且lrw=1时,左端口进行读操作;当cer#=0且lrw=0时,在t3的上升沿开始进行写操作,将数据总线dbus上的数据写入存储器。6.地址寄存器ar1和ar2地址寄存器ar1(u37)和ar2(u27、u28)提供双端口存储器的地址。ar1是1片gal22v10,具有加1功能,提供双端口存储器左端口的地址。ar1从数据总线dbus接收数据。ar1的控制信号是ldar1和ar1_inc。当a

14、r1_inc = 1 时,在t4的上升沿,ar1的值加1;当ldar1 = 1时,在t4的上升沿,将数据总线dbus的数据打入地址寄存器ar1。ar2由2片74hc298组成,有两个数据输入端,一个来自程序计数器pc,另一个来自数据总线dbus 。ar2的控制信号是ldar2和m3。m3选择数据来源,当m3 = 1 时,选中数据总线dbus;当m3 = 0 时,选中程序计数器pc。ldar2控制何时接收地址,当ldar2 = 1时,在t2的下降沿将选中的数据源上的数据打入ar2。7.程序计数器pc、地址加法器器alu2、地址缓存器r4程序计数器pc、地址加法器器alu2、地址缓存器r4联合完成

15、三种操作:pc加载,pc+1,pc+d。r4是一个由2片74hc298(u25、u26)构成的具有存储功能的两路选择器。当m4 = 1时,选中数据总线dbus;当m4 = 0,从指令寄存器ir的低4位ir0ir3接收数据。当ldr4 = 1时,在t2的下降沿将选中的数据打入r4。alu2由1片gal22v10(u17)构成,当pc_add = 1 时,完成pc 和ir低4 位的相加,即pc加d。程序计数器pc是1片gal22v10(u18),当pc_inc =1时,完成pc+1;当pc_add =1 时,与alu2一起完成pc+d的功能;当ldpc=1时, 接收从alu2 和 r4来的地址,实

16、际是接收来自数据总线dbus的地址,这些新的程序地址在t4的上升沿打入pc寄存器。8.指令寄存器ir指令寄存器ir是一片74hc374(u20)。它的数据端从双端口存储器接收数据(指令)。当ldir = 1时,在t4的上升沿将来自双端口存储器的指令打入指令寄存器ir保存。指令的操作码部分送往控制器译码,产生各种所需的控制信号。大多数情况下,指令的操作数部分应连到寄存器堆(用户自己连接),选择参与运算的寄存器。在某些情况下,指令的操作数部分也参与新的pc的计算。本实验系统设计了12条基本的机器指令,均为单字长(位)指令。指令功能及格式如表2所示。表2中的x代表随意值,rs1、rs0指的是寄存器堆

17、的b端口选择信号rs1、rs0,rd1、rd0指的是寄存器堆的a端口选择信号rd1、rd0,不过由于运算结果需写回,因此它也同时指wr1、wr0,用户需将它们对应连接。另一点需说明的是,为了简化运算,指令jc d中的d是一个4 位的正数,用d3 d2 d1 d0表示。实验系统虽仅设计了12条基本的机器指令,但代表了计算机中常用的指令类型。必要时用户可扩充到16条指令或者重新设计指令系统。9.中断地址寄存器iar中断地址寄存器iar(u19)是一片74hc374,用于保存中断发生时的断点地址。它直接使用ldiar信号作为时钟脉冲。当iar_bus# = 0时,它将断点地址送到数据总线dbus上,

18、2.3 输入与输出开关寄存器sw_bus(u38)是1片74hc244,用于将控制台开关sw7sw0的数据送往数据总线dbus。当sw_bus#=1时,禁止开关sw7sw0的数据送往数据总线dbus;当sw_bus#=0时,允许开关sw7sw0的数据送往数据总线dbus。通过sw7sw0输入数据,把数据输入到er中,然后分别rf中的r0r3中,然后通过选择,分别通过a、b端口送入dr1和dr2。然后送入alu进行相应的运算,再把结果通过dbus送入ram进行存储,并把指令通过ins送入ir,在送入控制器中,然后经过一系列的传送通过数据指示灯显示出来。2.4 数据通路结构 数据通路的设计师tec

19、-4计算机组成原理实验系统最有特色的部分。首先它采用了数据总线和指令总线双总线形式。它还使用了大规模在系统可编程器件作为运算器和寄存器堆,使得设计简单,可修改性强。数据通路位于试验系统的中部。图2-2 数据通路图第3章 微程序控制器设计3.1 机器指令格式 根据下列表的代码格式。产生不同的功能,完成各个任务。名称助记符功能指令格式r7 r6 r5 r4r3 r2r1 r0加法add rd,rsrd+rs-rd0 0 0 0rs1 rs0rd1 rd0减法sub rd,rsrd-rs-rd0 0 0 1rs1 rs0rd1 rd0乘法mul rd,rsrd*rs-rd0 0 1 0rs1 rs0

20、rd1 rd0逻辑与and rd,rsrd&rs-rd0 0 1 1rs1 rs0rd1 rd0存数sta rd,rsrd-rs0 1 0 0rs1 rs0rd1 rd0取数lda rd,rsrs-rd0 1 0 1rs1 rs0rd1 rd0无条件转移jmp rsrs-pc1 0 0 0rs1 rs0x x条件转移jc d若c=1则pc+d-pc1 0 0 1d3 d2d1 d0停机stp暂停运行0 1 1 0x xx x中断返回iret返回断点1 0 1 0x xx x开中断ints允许中断1 0 1 1x xx x关中断intc禁止中断1 1 0 0x xx x图3-1机器指令格式3.2

21、 控制台控制台位于tec4计算机组成原理实验系统的下部,主要由若干指示灯和若干拨动开关组成,用于给数据通路置数、设置控制信号、显示各种数据使用。1.sw7sw0 数据开关,直接接到数据通路部分的数据总线dbus上,用于向数据通路中的器件置数。开关拨到上面位置时输出1,拨到下面位置时输出0。sw7是最高位,sw0是最低位。2.k15k0双位拨动开关。开关拨到上面位置时输出1,拨到下面位置时输出0。实验中用于模拟数据通路部分所需的电平控制信号。例如,将k0与lddr1连接,则k0向上时,表示置lddr1为1;k0向下时,表示置lddr1为0。3.数据指示灯d7d08个红色发光二极管,用于显示数据总

22、线dbus或者指令寄存器ir的状态。d7是最高位,d0是最低位。双位开关ir/dbus拨到ir位置时,显示指令寄存器ir的状态;双位开关ir/dbus拨到dbus位置时,显示数据总线dbus状态。4.地址指示灯a7a08个绿色发光二极管,用于显示双端口存储器的地址寄存器内容。a7是最高位,a0是最低位。双端口存储器idt7132有两个地址端口,地址寄存器ar1提供左端口地址a7la0l,地址寄存器ar2提供右端口地址a7ra0r。当双位开关ar2/ar1拨到ar1位置时,显示地址寄存器ar1的内容;当双位开关ar2/ar1拨到ar2位置时,显示地址寄存器ar2的内容。5.微地址指示灯m_a5m

23、_a06个黄色发光二极管,用于显示控制存储器的地址m_a5m_a0。m_a5是最高位,m_a0是最低位。其他指示灯p3、p2、p1、p0、ie、c6个黄色发光二极管用于显示p3、p2、p1、p0、ie、c的值。p3、p2、p1、p0是控存的微代码位,用于条件分支产生下一个微地址。c是加、减运算时产生的进位值。ie是中断允许标志。当ie = 1时,允许中断;当ie = 0时,禁止中断。7.微动开关clr#、qd、intr这三个微动开关用于产生clr#、qd、intr单脉冲。按一次按钮clr#,产生一个负的单脉冲clr#,对全机进行复位,使全机处于初始状态,微程序地址置为000000b。clr#到

24、时序和控制器的连接已在印制板上实现,控制存储器和数据通路部分不使用复位信号clr#。按一次qd按钮,产生一个正的qd启动脉冲。qd和时序部分的连接已在印制板上实现。按一次intr按钮,产生一个正的单脉冲,可用于作为中断请求信号。intr到时序部分的连接已在印制板上实现。这三个单脉冲都有插孔对外输出,供用户设计自己的控制器和时序电路时使用。8.单步、单拍、单指开关db、dp、dzdb(单步)、dp(单拍)、dz(单指)是三种特殊的非连续工作方式。当dp = 1时,计算机处于单拍方式,按一次qd按钮,每次只执行一条微指令,发送一组t1、t2、t3、t4时序脉冲。当dz = 1时,计算机处于单指方式

25、。单指方式只对微程序控制器适用。在单指方式下,按一次qd按钮,计算机执行一条指令。当db = 1时,机器处于单步方式。单步方式只对硬布线控制器适用。在单步方式下,按一次启动按钮qd,发送一组w1、w2、w3、w4时序脉冲。在使用硬布线控制器时,每条指令需要一组w1、w2、w3、w4时序脉冲,因此单步方式实际上是硬布线控制器下的单指方式。db、dp、dz这三个双位开关,任何时刻都只允许一个开关置1,决不允许两个或三个开关同时置1。当db=0 且dp=0 且dz=0时,机器处于连续工作方式。9.控制台方式开关swc、swb、swa控制台方式开关swc、swb、swa定义了tec4计算机组成原理实验

26、系统的五种工作方式。在出厂时提供的标准控存中,五种工作方式定义如下:swcswbswa工作方式000pr,启动程序001krd,读双端口存储器010kwe,写双端口存储器011kld,加载寄存器堆100krr,读寄存器堆图3-2控制台指令格式表在按clr#按钮复位后,根据swc、swb、swa选择工作方式。pr是启动程序方式。在此方式下,首先在sw7sw0指定启动地址,按启动按钮qd后,启动程序运行。krd是读双端口存储器方式。在此方式下,(1)首先在sw7sw0置好存储器地址;按qd按钮,则将此地址打入地址寄存器ar1,并读出该地址存储器内容到数据总线dbus。(2)每按一次qd按钮,地址寄

27、存器ar1加1,并读出新地址存储器内容到数据总线dbus。依次进行下去,直到按复位按钮clr#为止。kwe是写双端口存储器方式。在此方式下,(1)首先在sw7sw0置好存储器地址;按qd按钮,则首先将此地址打入地址寄存器ar1,然后等待输入数据。(2)在sw7sw0置好数据,按qd按钮,首先写数据到ar1指定的存储器单元,然后地址寄存器ar1加1,等待新的输入数据。依次进行下去,直到按复位按钮clr#为止。kld是加载寄存器堆方式。此方式用于对寄存器堆加载。(1)首先在sw7sw0置好存储器地址,按qd按钮,则将此地址打入地址寄存器ar1和地址寄存器ar2。(2)在sw7sw0置好数据,数据的

28、低2位d1、d0为寄存器堆中的寄存器号,按一次qd按钮,则写数据到ar1指定的存储器单元;然后将写入的数据从右端口读出,并送入指令寄存器ir。10.控制器控制器位于本实验系统的中上部,产生数据通路操作所需的控制信号。出厂时,提供了一个微程序控制器,使用户能够进行基本的计算机组成原理实验。在进行硬连线控制器实验,流水微程序控制器实验和流水硬连线控制器实验等课程设计时,用户可设计自己的控制器,部分或者全部代替出厂时提供的控制器。图3-3控制器框图第4章 机器语言程序设计4.1 机器语言程序及其存储器位置、编码和数据初值的设计 程序功能:例如将寄存器r2中的内容和r0中的内容相减,将所得的差值送入到

29、寄存器r2中。程序实现表:地址指令机器代码10hsub r2, r012h11hsub r3, r117h12hsub r2,r31eh4.2 程序执行过程及预测结果首先设置通用寄存器r0、r1、r2、r3的值,使r0=10h,r1=12h,r2=14h,r3=16h。然后从10h地址开始存3个机器代码:12h,17h,1eh。用单指的方式执行程序。初值:r0=10h,r1=12h,r2=14h,r3=15h。1. sub r2, r0 执行结果:r0=10h,r2=04h。2. sub r3, r1执行结果:r1=12h,r3=03h3. sub r2,r3执行结果:r2=01h,r3=15

30、h第5章 指令流程与测试5.1 接线方法1.将跳线开关j1用短路子短接。时序发生器的输入tji接控制存储器的输出tj。控制器的输入c接运算器alu的c。控制器的输入ir7、ir6、ir5、ir4依次指令寄存器ir的输出ir7、ir6、ir5、ir4。共6条线。2.控制器的输出ldir(cer)、ldpc(ldr4)、pc_add、pc_inc、m4、ldiar、ldar1(ldar2)、ar1_inc、m3、lder、iar_bus#、sw_bus#、rs_bus#、alu_bus、cel#、lrw、wrd、lddr1(lddr2)、m1(m2)、s2、s1、s0依次与数据通路的对应信号连接。

31、共27条线。3.指令寄存器ir的输出ir0接双端口寄存器堆的rd0、wr0,ir1接rd1、wr1,ir2接rs0,ir3接rs1。共6条线。合上电源。按clr#按钮,使实验系统处于初始状态。5.2设置通用寄存器r1,r0的值在本操作中,我们打算使r0=08h,r1=06h。1.令dp = 0,db = 0,dz =0,使实验系统处于连续运行状态。令swc = 0、swb = 1、swa = 1,使实验系统处于寄存器加载工作方式kld。按clr#按钮,使实验系统处于初始状态。2.在sw7sw0上设置一个存储器地址,该存储器地址供设置通用寄存器使用。该存储器地址最好是不常用的一个地址,以免设置通

32、用寄存器操作破坏重要的存储器单元的内容。例如可将该地址设置为0ffh。按一次qd按钮,将0ffh写入ar0和ar1。3.在sw7sw0上设置00h,作为通用寄存器r0的寄存器号。按一次qd按钮,则将00h写入ir。4.在sw7sw0设置10h,作为r0的值。按一次qd按钮,将10h写入ir指定的r0寄存器。5.在sw7sw0上设置10h,作为通用寄存器r2的寄存器号。按一次qd按钮,将10h写入ir。6.在sw7sw0设置14h,作为r2的值。按一次qd按钮,将14h写入r2。7.设置r0、r2结束,用同样的方法设置r1、r38.按clr#按钮,使实验系统恢复到初始状态。5.3 存程序机器代码

33、 本操作中,我们从10地址开始存。3个机器代码:12h,17h,1eh。1.令dp = 0,db = 0,dz =0,使实验系统处于连续运行状态。令swc = 0、swb = 1、swa = 0,使实验系统处于写双端口存储器工作方式kwe。按clr#按钮,使实验系统处于初始状态。2.置sw7sw0为10h,按qd按钮,将10h写入ar1。3.置sw7sw0 为12h,按qd按钮,将12h写入存储器10h单元。ar1自动加1,变为11h。4.置sw7sw0为17h,按qd按钮,将17h写入存储器11h单元。ar1自动加1,变为12h。5.重复进行,将1eh写入存储器12h单元。按clr#按钮,使实验系统恢复到初始状态。5.4用单拍(dp)方式执行一遍程序 在单拍执行过程中,首先要随时监测ar2的值和ir的值,以判定程序执行到何处,正在执行哪条指令。监测微地址指示灯和判

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论