毕业设计(论文)多进制频率调制解调系统的设计_第1页
毕业设计(论文)多进制频率调制解调系统的设计_第2页
毕业设计(论文)多进制频率调制解调系统的设计_第3页
毕业设计(论文)多进制频率调制解调系统的设计_第4页
毕业设计(论文)多进制频率调制解调系统的设计_第5页
已阅读5页,还剩37页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、陕西理工学院毕业设计(论文) i 多进制频率调制解调系统的设计 xx(xx 理工学院 电信工程系 电子信息工程专业,2007 级 6 班,xxxx xx)指导老师:xx【摘 要】mfsk -多进制数字频率调制,简称多频制,是 2fsk 方式的推广。它是用不同的载波频率代表各种数字信息。在数字通信系统中,数字调制与解调技术占有非常重要的地位。随着 fpga 技术的发展,数字通信技术与 fpga 的结合体现了现代数字通信系统发展的一个趋势。文中介绍了 mfsk 调制解调的原理, 并基于 vhdl 实现了 mfsk 调制解调电路设计,仿真结果表明设计方案是可行的。整个系统的功能在 eda 技术开发平

2、台均调试通过,并在 max7000s 系列 fpga 上硬件实现,具有较高的实用性和可靠性。【关键词】mfsk;vhdl;调制;解调陕西理工学院毕业设计(论文) ii design and simulation of mfsk modulation circuit based on vhdlxx(grade 03,class 1,major electronics and information engineering ,electronics and information engineering dept.,xx university of technology xxxx,xx)tutor

3、: xx【abstract】mfsk - multi-band digital frequency modulation, referred to as multi-frequency system is the way 2fsk promotion. it is representative of a different variety of digital information carrier frequency. in digital communication system, the digital modulation and demodulation plays an impor

4、tant role with the development of fpga technology, the combination of digital communication technology with fpga is an inevitable trend. this paper gives the principle of mfsk modulation and demodulation. based on vhdl, the design of mfsk modulation circuit is realized. the simulation result indicat

5、es that this scheme is feasible.【key words】mfsk;vhdl; modulation; demodulation陕西理工学院毕业设计(论文) 0 目录1 绪论 .11.1 maxpluxii 简介.31.2 vhdl 语言简介.41.3 多进制调制的特点 .52 方案论证 .82.1 fpga 简介.82.2 fpga 概述.82.3 altera 可编程逻辑器件简介 .93 多进制数字调制原理 .103.1 fsk 调制解调的基本原理 .103.2 mfsk 简介.123.3 多进制数字频率调制的原理.123.4 多进制数字频率解调的原理.133.

6、5 mfsk 调制解调原理.143.6 mfsk 信号的频谱、带宽及频带利用率.153.7 mfsk 系统的误码性能.154 mfsk 的 vhdl 建模与设计 .164.1 mfsk 调制电路的 vhdl 建模与设计及实现.164.2 mfsk 解调电路的 vhdl 建模与设计及实现.174.3 mfsk 调制解调电路的 vhdl 建模与设计及实现.195 硬件实现 .21陕西理工学院毕业设计(论文) 1 5.1 程序下载.215.2 波形验证.225.3 结果分析与体会.23结论 .24致谢 .25参考文献 .26附录 a 英文文献: .27中文翻译:.31附录 b 原文总程序:.34陕西

7、理工学院毕业设计(论文) 0 1 绪论如今社会通信技术的发展速度可谓日新月异,计算机的出现在现代通信技术的各种媒体中占有独特的地位,计算机在当今社会的众多领域里不仅为各种信息处理设备被使用,而且它与通信向结合,使电信业务更加丰富。随着人类经济和文化的发展,人们对通信技术性能的需求也越来越迫切,从而又大大推动了通信科学的发展。在通信理论上,先后形成了“过滤和预测理论” 、 “香浓信息论” 、 “纠错编码理论” 、 “信源统计特性理论” 、 “调制理论”等。通信作为社会的基本设施和必要条件,引起的世界各国的广泛关注,通信的目的就是从一方向另一方传送信息,给对方以信息,但是消息的传送一般都不是直接的

8、,它必须借助于一定形式的信号才能便于远距离快速传输和进行各种处理。虽然基带信号可以直接传输,但是目前大多数信道不适合传输基带信号。现有通信网的主体为传输模拟信号而设计的,基带数字信号不能直接进入这样的通信网。基带信号一般都包含有频率较低,甚至是直流的分量,很难通过有限尺寸的天线得到有效辐射,因而无法利用无线信道来直接传播。对于大量有线信道,由于线路中多半串接有电容器或并接有变压器等隔直流元件,低频或直流分量就会受到很大限制。因此,为了使基带信号能利用这些信道进行传输,必须使代表信息的原始信号经过一种变换得到另一种新信号,这种变换就是调制。实际中一般选正弦信号为基带信号,称为载波信号。代表所传信

9、息的原始信号,使调制载波的信号。调制就是从载波的一个参量的变化来反映调制信号变化的过程。用载波幅度的变化来反映调制信号的称为振幅调制;用载波的频率、相位反映调制信号变化的调制分别成为频率调制和相位调制。而实现这些调制过程得设备成为调制器。从已调波形中恢复调制信号的过程称为解调,相应的设备成为解调器。一般讲调制器和解调器做成一个设备,可用于双向传输,称为调制解调器。调制的另一目的是便于线路复用。在进行多路传输时,各路数据的原始基带型号的频谱往往是相互重叠的,不能在同一线路上同时输出。经过调制后,各路信号可已搬移到频带互不重叠的频段去传输,从而避免多路传输中的相互干扰。基于这种目的,信号经调制后在

10、传输的方式又称为频带传输。调制信号时模拟信号的称为模拟调制,模拟调制是对载波信号的参量进行连续调制,在接受端则对载波信号的调制参量连续地估值;而数字调制则是用载波的某些离散状态来表征所传输的信息,在接受端也只要对载波信号的离散调制参量进行检测。二进制数字调制所用调制信号由代表“0”“1”的数字信号脉冲序列组成。因此,数字调制信号也成为键控信号。在二进制振幅调制、频率调制和相位调制分别称为振幅键控(ask) 、频移键控(fsk) 、相移键控(psk) 。数字调制产生模拟信号,其载波参量的离散状态是与数字数据相对应的,这种信号适宜于在带通型的模拟信道上传输。频率调制是利用载波的频率变化来传输信息的

11、,其中最简单的一种方式是多进制频移键控(mfsk)调制,它是继振幅键控信号之后出现比较早的一种调制方式。由于它的抗衰减性能优于ask,设备又不算复杂,实现也比较容易,所以一直在很多场合,例如在中低速数据传输,尤其在陕西理工学院毕业设计(论文) 1 有衰减的无线信道中广泛应用。多进制频移键控(mfsk)用靠近在载波的多个不同频率表示两个二进制数。mfsk 信号有两种产生方法:载波调频法和频率选择法。载波调频法产生的是相位连续的mfsk 信号,相位连续 mfsk 信号一般由一个振荡器产生,用基带信号改变振荡器的参数,使震荡频率发生变化,这时相位是连续的。频率选择法一般是相位不连续的 mfsk 信号

12、,相位不连续的 mfsk信号一般由四个不同频率的振荡器长生,由基带信号控制着四个频率信号的输出。由于这两个振荡器是相互独立的因此在转换或相反的过程中,不能保证相位的连续。了解了 mfsk 信号的基本概念后,利用 max-plus软件中的 vhdl 语言对 mfsk 频移键控系统就行调制、解调的程序设计;程序设计运行成功后,在利用 vhdl 语言对 mfsk 频移键控系统进行调制、解调的波形仿真;最后通过vhdl 语言制作出 mfsk 频移键控系统调制、解调的电路图。在数字通信中,数字信号传输系统分为基带传输系统和载波传输系统。在数字载波传输系统中,数字信号对高频载波进行调制,变为频带信号,通过

13、信道传输,在接收端解调后恢复成原来的数字信号。数字信号对载波的调制与模拟信号对载波的调制过程类似,同样可以用数字信号去控制正弦载波的振幅、频率或相位的变化。但由于数字信号具有时间和取值离散的特点,从而使受控载波的参数变化过程离散化,因此这种调制过程又称为“键控法” 。 数字调制过程中处理的是数字信号, 而载波有振幅、频率和相位 3 个变量, 且二进制的信号只有高低电平两个逻辑量 1 和 0, 所以数字调制最基本的方法有 3 种:对载波的振幅调制称为振幅键控(ask);对载波的频率调制称为频移键控(fsk);对载波的相位调制称为相移键控(psk)。根据所处理的基带信号的进制不同分为二进制和多进制

14、调制。多进制数字调制与二进制相比, 在相同的信息传输速率条件下,可以使传输频带压缩 k 倍,从而提高了通信系统的有效性。1 eda 技术简介eda 技术就是依靠功能强大的电子计算机,在 eda 工具软件平台上,对以硬件描述语言hdl(hardware description language)为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、化简、分割、综合、优化、仿真,直至下载到可编程逻辑器件 cpld/fpga 或专用集成电路asic(application specific integrated circuit)芯片中,实现既定的电子电路设计功能。eda技术可把数字通信技术,微电子技

15、术和现代电子设计自动技术结合起来,实现硬件设计软件化,加速了数字通信系统设计的效率,降低了设计成本。eda 与传统电子设计方法的比较: 传统设计方法的缺点:(1)复杂电路的设计、调试十分困难。(2)如果某一过程存在错误,查找和修改十分不便。(3)设计过程中产生大量文档, ,不易管理。(4)对 ic 设计而言,设计实现过程与具体生产工艺直接相关,因此可移植性差。(5)只有在设计出样机或生产出芯片后才能进行实测。 eda 技术的特点:(1)采用硬件描述语言作为设计输入。陕西理工学院毕业设计(论文) 2 (2)库的引入。(3)设计文档的管理。(4)强大的系统建模、电路仿真功能。(5)具有自主知识产权

16、。(6)开发技术的标准化、规范化以及 ip 核的可重用性。(7)适用于高效率大规模系统设计的自顶向下设计方案。(8)全方位的利用计算机自动设计、仿真和测试技术。(9)对设计者的硬件知识和硬件经验要求低。(10)与以 cpu 为主的电路系统相比,eda 技术具有更好的高速性能。(11)纯硬件系统的高可靠性。因此,eda 技术是现代电子设计的发展趋势。1.11.1 maxpluxiimaxpluxii 简介简介前面已提到,max+plus ii 是开发 altera 公司 fpga 产品的软件工具。利用 max+plus ii 提供的设计环境和设计工具,可以灵活高效地完成各种数字电路设计。在 ma

17、x+plus ii 中 fpga 的设计流程如下: 1设计的输入max+plus ii 中有三种输入方式:图形输入、文本输入、波形输入,分别利用 max+plus ii 的graphic editor、text editor、waveform editor。图形输入即输入电路原理图,不仅可以使用max+plus ii 中丰富的图形器件库,而且可以使用几乎全部的标准 eda 设计工具。文本输入方式支持 altera 公司的 ahdl 语言,同时兼容 vhdl 和 verilog hdl。波形输入允许设计者通过只编辑输入波形,而由系统自动生成该功能模块。2设计实现设计实现意味着在所选的 fpga

18、器件内物理地实现所需逻辑。这个过程主要由 max+plus ii 中的核心部分编译器(compiler)完成。它主要依据设计输入文件自动生成用于器件编程、波形仿真及延时分析等所需的数据文件。3设计仿真仿真器(simulator)和时延分析器(timing analyzer)利用编译器产生的数据文件自动完成逻辑功能仿真和延时特性仿真。在仿真文件中加载不同的激励,可以观察中结果以及输出波形。必要时,可以返回设计输入阶段,修改设计输入,最终达到设计要求。4器件编程与测试在仿真结果正确以后,就可以进行器件编程,即通过编程器(programmer)将设计下载到实际芯片中。下载之后,仍需进行动态仿真,因为

19、在上一步骤的仿真属于静态时序仿真,并未涉及实际器件。动态仿真是将实际信号送入实际芯片中进行的时序验证。最后则是测试芯片在系统中的实际运行性能。陕西理工学院毕业设计(论文) 3 1.1.1 max-plus开发系统的特点:1、开放的界面max-plus 支持与 cadence,exemplar logic,mentor graphics,simplicity,view logic 和其它公司所提供的 eda 工具接口。2、与结构无关max-plus系统的核心 complier 支持 altera 公司的flex10k、flex8000、flex6000、max9000、max7000、max50

20、00 和 classic 可编程逻辑器件,提供了世界上唯一真正与结构无关的可编程逻辑设计环境。3、完全集成化max-plus的设计输入、处理与较验功能全部集成在统一的开发环境下,这样可以加快动态调试、缩短开发周期。4、丰富的设计库max-plus提供丰富的库单元供设计者调用,其中包括 74 系列的全部器件和多种特殊的逻辑功能(macro-function)以及新型的参数化的兆功能(mage-function) 。5、模块化工具设计人员可以从各种设计输入、处理和较验选项中进行选择从而使设计环境用户化。6、硬件描述语言(hdl)max-plus软件支持各种 hdl 设计输入选项,包括 vhdl、v

21、erilog hdl 和 altera 自己的硬件描述语言 ahdl。1.21.2 vhdlvhdl 语言简介语言简介vhdl 的英文全名是 very-high-speed integrated circuit hardware description language,诞生于 1982 年。1987 年底,vhdl 被 ieee 代了原有的非标准的硬件描述语言和美国国防部确认为标准硬件描述语言。数据类型,常数和子程序等;配置用于从库中选取所需要单元来支持系统的不同设计,即对库的使用。库可由用户生成或芯片制造商提供,以便共享。实体是描述系统的外部端口,实体说明用于描述设计系统的外部端口输入、输

22、出特征;结构体是描述系统内部的结构和行为,即用于描述设计系统的行为、系统数据的流程和系统内部的结构及其实现的功能。配置为属性选项,描述层与层之间、实体与结构体之间的连接关系,比如高层设计需要将低层实体作为文件加以利用,这就要用到配置说明,用于从库中选取所需设计单元来组成系统设计的不同版本。程序包为属性选项,用于把共享的定义放置其中,具体地说主要用来存放各种设计的模块都能共享的数据类型、常量和子程序等。库主要用于存放已经编译的实体、结构体、程序包和配置,可由用户自主生成或有 asic 芯片陕西理工学院毕业设计(论文) 4 制造商提供相应的库,以便于设计中为大家所共享。相对于其他硬件设计语言,1.

23、2.1 vhdl 具有如下优点:1、 用于设计复杂的、多层次的设计,支持设计库和设计的重复使用;2、 与硬件独立,一个设计可用于不同的硬件结构,而且设计时不必了解过多的硬件细节;3、 有丰富的软件支持 vhdl 的综合和仿真,从而能在设计阶段就能发现设计中的 bug,缩短设计时间,降低成本;4、 有良好的可读性,容易理解。vhdl 主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,vhdl 的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。vhdl 的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可视部

24、分,及端口)和内部(或称不可视部分) ,既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是 vhdl 系统设计的基本点。vhdl 语言的基本结构:一个完整的 vhdl 语言程序通常包括实体声明(entity declaration) 、结构体(architecture body) 、配置(configuration) 、程序包(package)和库(library)五个组成部分。其中实体和结构体是不可缺少的。前 4 种是可分别是编译的源设计单元。库存放已编译的实体,结构体,配置和包;实

25、体用于描述系统内部的结构和行为;包存放各设计模块都能共享的5、 有良好的可读性,容易理解5。1.31.3 多进制调制的特点多进制调制的特点数字通信的早期历史是与电报的发展联系在一起的。1937 年,英国人 ah里夫斯提出脉码调制(pcm) ,从而推动了模拟信号数字化的进程。 1946 年,法国人 em德洛雷因发明增量调制。1950 年 cc卡特勒提出差值编码。1947 年,美国贝尔实验室研制出供实验用的 24 路电子管脉码调制装置,证实了实现 pcm 的可行性。1953 年发明了不用编码管的反馈比较型编码器,扩大了输入信号的动态范围。1962 年,美国研制出晶体管 24 路 1544 兆比/秒

26、脉码调制设备,并在市话网局间使用。 数字通信与模拟通信相比具有明显的优点。它抗干扰能力强,通信质量不受距离的影响,能适应各种通信业务的要求,便于采用大规模集成电路,便于实现保密通信和计算机管理。不足之处是占用的信道频带较宽。 20 世纪 90 年代,数字通信向超高速大容量长距离方向发展,高效编码技术日益成熟,语声编码已走向实用化,新的数字化智能终端将进一步发展。1.3.1 数字通信系统是利用数字信号来传递信息的通信系统,如下图所示陕西理工学院毕业设计(论文) 5 数字信源 编码器 调制器信道 解调器 译码器 信宿干扰源图 1.1 数字通信系统1.3.2 各部分功能(1)信源编码与译码信源编码的

27、作用:设法减少码元数目和降低码元速率,即通常所说的数据压缩。码元速率将直接影响传输所占的带宽,而传输带宽又直接反映了通信的有效性。信息源给出的是模拟语音信号时,信源编码器将其转换成数字信号,以实现模拟信号的数字化传输。(2) 信道编码与译码为了减少差错,信道编码器对传输的信息码元按一定的规则加入保护成分(监督元) ,组成所谓“抗干扰编码” 。接收端的信道译码器按一定规则进行解码,从解码过程中发现错误或纠正错误,从而提高通信系统抗干扰能力,实现可靠通信。 (3)加密与解密在需要实现保密通信的场合,为了保证所传信息的安全,人为将被传输的数字序列扰乱,即加上密码,这种处理过程叫加密。在接收端利用与发

28、送端相同的密码复制品对收到的数字序列进行解密,恢复原来信息,叫解密。(4) 数字调制与解调数字调制就是把数字基带信号的频谱搬移到载频处, 形成适合在信道中传输的频带信号。基本的数字调制方式有振幅键控 ask、频移键控 fsk、绝对相移键控 psk、相对(差分)相移键控 dpsk。对这些信号可以采用相干解调或非相干解调还原为数字基带信号。(5) 同步与数字复接同步是使收、发两端的信号在时间上保持步调一致。按照同步的功用不同,可分为载波同步、位同步、群同步和网同步。数字复接就是依据时分复用基本原理把若干个低速数字信号合并成一个高速的数字信号,以扩大传输容量和提高传输效率。1.3.3 数字通信的主要

29、特点(1)抗干扰能力强。陕西理工学院毕业设计(论文) 6 (2)远距离传输可消除噪声积累。(3)采用信道编码技术可控制差错。降低误码率, 提高传输的可靠性。(4)易于与各种数字终端接口,用现代计算技术对信号进行处理、加工、变换、存储,从而形成能网。 (5)易于集成化, 从而使通信设备微型化。 (6)易于加密处理, 且保密强度高。数字通信的缺点(1)占据宽的系统频带,因此数字通信的频带利用率不高。(2)数字通信对同步要求高,因而系统设备比较复杂。(3)不过,随着光纤等的采用、 窄带调制技术和超大规模集成电路的发展,数字通信的这些缺点已经弱化。数字通信将占主导地位。1.3.4 多进制数字调制频率件

30、控是用数字基带信号控制载波信号的频率,即以不同频率的高频振荡来表示不同的数字基带信号。多进制数字频率调制也称为多元调频或多频制。用多个频率不同的正弦波分别代表不同的数字信号,在某一码元时间内只发送其中一个频率。所谓多进制数字调制,就是利用多进制数字基带信号去调制高频载波的某个参量,如幅度、频率或相位的过程。根据被调参量的不同,多进制数字调制可分为多进制幅度键控(mask) 、多进制频移键控(mfsk)以及多进制相移键控(mpsk 或 mdpsk) 。也可以把载波的两个参量组合起来进行调制,如把幅度和相位组合起来得到多进制幅相键控(mapk)或它的特殊形式多进制正交幅度调制(mqam)等。由于多

31、进制数字已调信号的被调参数在一个码元间隔内有多个取值,因此,与二进制数字调制相比,多进制数字调制有以下几个特点:(1)在码元速率(传码率)相同条件下,可以提高信息速率(传信率) ,使系统频带利用率增大。码元速率相同时, 进制数传系统的信息速率是二进制的 倍。在实际应用中,通mm2log常取 ,k 为大于 1 的正整数。km2(2)在信息速率相同条件下,可以降低码元速率,以提高传输的可靠性。信息速率相同时, 进制的码元宽度是二进制的 倍,这样可以增加每个码元的能量,并能减小码间串扰mm2log影响等。正是基于这些特点,使多进制数字调制方式得到了广泛的使用。不过,获得以上几点好处所付出的代价是,信

32、号功率需求增加和实现复杂度加大。陕西理工学院毕业设计(论文) 7 2 方案论证2.12.1 fpgafpga 简介简介fpga 是现场可编程门阵列器件(field programmable gate array)的简称,它是复杂可编程逻辑器件(complex programmable logic device)的一个分支。在过去的课程设计中用的最多的是 altera 公司的 max7000s 系列芯片,本次设计仍将使用它们。2.22.2 fpgafpga 概述概述fpga 结构原理图如下:图 2.1 fpga 结构原理图fpga 通常由布线资源围绕的可编程单元构成阵列,又由可编程 i/o 单元

33、围绕阵列构成整个芯片。其内部结构称为 lca(logic cell array) ,由可编程逻辑块(clb) 、可编程输入输出模块(iob)和可编程内部连线(pic)三个部分组成。排成阵列的逻辑单元由布线通道中的可编程连线连接起来实现一定的逻辑功能。fpga 是由掩膜可编程门阵列和可编程逻辑器件演变而来的,将它们的特性结合在一起,使得fpga 既有门阵列的高逻辑密度和通用性,又有可编程逻辑器件的用户可编程特性。鉴于此,fpga是可编程逻辑器件的一个发展趋势。fpga 由可编程逻辑单元阵列、布线资源和可编程的 io 单元阵列构成,一个 fpga 包含丰富的逻辑门、寄存器和 io 资源。一片 fp

34、ga 芯片就可以实现数百片甚至更多个标准数字集成电路所实现的系统。 陕西理工学院毕业设计(论文) 8 fpga 的结构灵活,其逻辑单元、可编程内部连线和 io 单元都可以由用户编程,可以实现任何逻辑功能,满足各种设计需求。其速度快,功耗低,通用性强,特别适用于复杂系统的设计。使用 fpga 还可以实现动态配置、在线系统重构(可以在系统运行的不同时刻,按需要改变电路的功能,使系统具备多种空间相关或时间相关的任务)及硬件软化、软件硬化等功能。 鉴于高频疲劳试验机控制器控制规模比较大,功能复杂,故我们在研制过程中,在传统试验机控制器的基础上,通过 fpga 技术及微机技术两者的结合,来全面提升控制器

35、系统的性能,使整机的工作效率、控制精度和电气系统可靠性得到了提高,且操作方便而又不乏技术的先进性。2.32.3 alteraaltera 可编程逻辑器件简介可编程逻辑器件简介可编程逻辑器件的两种主要类型是现场可编程门阵列(fpga)和复杂可编程逻辑器件(cpld) 。 在这两类可编程逻辑器件中,fpga 提供了最高的逻辑密度、最丰富的特性和最高的性能。 现在最新的 fpga 器件,如 xilinx virtex系列中的部分器件,可提供八百万系统门(相对逻辑密度)。 这些先进的器件还提供诸如内建的硬连线处理器(如 ibm power pc) 、大容量存储器、时钟管理系统等特性,并支持多种最新的超

36、快速器件至器件(device-to-device)信号技术。 fpga 被应用于范围广泛的应用中,从数据处理和存储,以及到仪器仪表、电信和数字信号处理等。 与此相比, cpld 提供的逻辑资源少得多 - 最高约 1 万门。 但是,cpld 提供了非常好的可预测性,因此对于关键的控制应用非常理想。 而且如 xilinx cool runner系列 cpld器件需要的功耗极低 。可编程逻辑器件,英文全称为:programmable logic device 即 pld。 pld 是作为一种通用集成电路产生的,他的逻辑功能按照用户对器件编程来确定。一般的 pld的集成度很高,足以满足设计一般的数字系

37、统的需要。这样就可以由设计人员自行编程而把一个数字系统“集成”在一片 pld 上,而不必去请芯片制造厂商设计和制作专用的集成电路芯片了。 pld 与一般数字芯片不同的是: pld 内部的数字电路可以在出厂后才规划决定,有些类型的 pld 也允许在规划决定后再次进行变更、改变,而一般数字芯片在出厂前就已经决定其内部电路,无法在出厂后再次改变,事实上一般的模拟芯片、混讯芯片也都一样,都是在出厂后就无法再对其内部电路进行调修。pld 与一般数字芯片不同的是: pld 内部的数字电路可以在出厂后才规划决定,有些类型的 pld 也允许在规划决定后再次进行变更、改变,而一般数字芯片在出厂前就已经决定其内部

38、电路,无法在出厂后再次改变,事实上一般的模拟芯片、混讯芯片也都一样,都是在出厂后就无法再对其内部电路进行调修。目前 fpga 的逻辑功能块在规模和实现逻辑功能的能力上存在很大差别。在这方面美国 altera公司以雄厚的技术实力、独特的设计构思和功能齐全的芯片开发系统在激烈的市场竞争中脱颖而出。为满足更广泛的设计要求,altera 公司对其开发的 fpga 器件进行了改进,推出了功能超过普通fpga 的 flex8000 系列。再后来又推出了 max7000s 系列产品。通过该公司的先进的芯片开发软件max+plus ii,用户可以任意对芯片进行编程、加密或用软件代替硬件,以满足自己的设计需要。

39、陕西理工学院毕业设计(论文) 9 本课题就是基于 max7000s 系列芯片,运用 max+plus ii 软件进行设计的。3 多进制数字调制原理3.13.1 fskfsk 调制解调的基本原理调制解调的基本原理3.1.1 2fsk 的调制频移键控即 fsk(frequencyshift keying)数字信号对载波频率调制,主要通过数字基带信号控制载波信号的频率来来传递数字信息。在二进制情况下, “1”对应于载波频率, “0”对应载波频率,但是它们的振幅和初始相位不变化。fsk 信号产生的两种方法:(1)直接调频法用二进制基带矩形脉冲信号去调制一个调频器,使其输出两个不同频率的码元。一般采用的

40、控制方法是:当基带信号为正时(相当于“1”码) ,改变振荡器谐振回路的参数(电容或者电感数值) ,使振荡器的振荡频率提高(设为 f1) ;当基带信号为负时(相当于“0”码) ,改变振荡器谐振回路的参数(电容或者电感数值) ,使振荡器的振荡频率降低(设为 f2) ;从而实现了调频。这种方法产生的调频信号是相位连续的,虽然实现方法简单,但频率稳定度不高,同时频率转换速度不能做得太快,但是其优点是由调频器所产生的 fsk 信号在相邻码元之间的相位是连续的 ( 2 ) 频率键控法频率键控法也称频率选择法。它有两个独立的振荡器,数字基带信号控制转换开关,选择不同频率的高频振荡信号实现 fsk 调制。图

41、2.1.1 频率健控法原理框图图 3.1 频率键控法原理框图键控法产生的 fsk 信号频率稳定度可以做得很高并且没有过渡频率,它的转换速度快,波形好。频率键控法在转换开关发生转换的瞬间,两个高频振荡的输出电压通常不可能相等,于是ufsk(t)信号在基带信息变换时电压会发生跳变,这种现象也称为相位不连续,这是频率键控特有的情况。3.1.2 2fsk 的调制方框图及电路符号 1f 2f )(tufsk基带 信号 fpga载波f1clkstart基带信号分频器1分频器2载波f2二选一选通开关调制信号陕西理工学院毕业设计(论文) 10 图 3.2 2fsk 调制方框图3.1.3 2fsk 的解调数字频

42、率键控(fsk)信号常用的解调方法有很多种如:(1) 同步(相干)解调法在同步解调器中,有上、下两个支路,输入的 fsk 信号经过和两个带通滤波器后变成了1f2f上、下两路 ask 信号,之后其解调原理与 ask 类似,但判决需对上、下两支路比较来进行。假设上支路低通滤波器输出为,下支路低通滤波器输出为,则判决准则是:1x2x 图 3.3 相干解调法原理框图接收信号经过并联的两路带通滤波器进行滤波与本地相干载波相乘和包络检波后,进行抽样判决,判决的准则是比较两路信号包络的大小。假设上支路低通滤波器输出为 cos,下支路1f低通滤波器输出为 cos,则判决准则是:如果上支的信号包络较大,则判决为

43、“1” ;反之,判f2决为收到为“0” 。 (2) 2fsk 滤波非相干解调 输入的 fsk 中频信号分别经过中心频为 fh、fl 的带通滤波器,然后分别经过包络检波,包络检波的输出在 t=ktb 时抽样(其中 k 为整数) ,并且将这些值进行比较。根据包络检波器输出的大小,比较器判决数据比特是 1 还是 0。信号判输入为信号判输入为22112100fxxfxx - + 接收的 fsk 信号 包络检波 包络检波 判决 带通滤波 fh 带通滤波 fl 陕西理工学院毕业设计(论文) 11 图 3.4 滤波非相干解调原理框图3.1.4 2fsk 解调方框图及电路符号clkstart基带信号寄存器xx

44、分频器q判决调制信号fpga计数器m图 3.5 2 fsk 解调方框图3.23.2 mfskmfsk 简介简介多进制数字频率调制(mfsk)简称多频制,是 2fsk 方式的推广。它是用不同的载波频率代表种数字信息。多进制频键控(mfsk)的基本原理和 2fsk 是相同的,其调制可以用频率键控法(频率选择法)和模拟的调频法来实现,不同之处在于使用键控法时其供选的频率有 m 个,选择逻辑电路也比较复杂。mfsk(多进制频移控),是一种在各种频率离散音频脉冲爆发传送数字信息的信号调制方法。它原来是欧洲和英国政府机构在 20 世纪中叶使用。在那时它叫做 piccolo,一种乐器的名字,这种乐器的声音音

45、调很高,就像一个 mfsk 信号经过收音机的喇叭时发出的声音。mfsk 类似频移监控(fsk),但是使用的频率要至少是两个。最常见的 mfsk 形式使用 16 个频率,叫做 mfsk16。这些音调一次传送一个。每个音调持续时间不到一秒。mfsk 中波特(每秒传输的数目)与比特/秒(bps)的比率要比二进制中小。这减少了噪音和对数据传输速率的干扰的错误的产生。为了提供更大的精确性,前向纠错技术(fec)被使用。mfsk 的主要缺点是信号频带宽,频带利用率低。因此,mfsk 多用于调制速率低及多径延时比较严重的信道,如无线短波信道。3.33.3 多进制数字频率调制的原理多进制数字频率调制的原理串/

46、并变换器和逻辑电路 1 将一组组输入的二进制码(每 k 个码元为一组)对应地转换成有m 种状态的一个个多进制码。这 m 个状态分别对应 m 个不同的载波频率。当 某 组 k 位二进制码到来时,逻辑电路 1 的输出一方面接通某个门电路,让相应的载频发送出去,另一方面同时关闭其余所有的门电路。于是当一组组二进制码元输入时,经相加器组合输出的便是一个 m 进制调频波形,其原理框图如下:陕西理工学院毕业设计(论文) 12 图 3.6 多进制频率调制系统的调制方框图3.43.4 多进制数字频率解调的原理多进制数字频率解调的原理mfsk 的解调同样有相干解调、非相干解调和锁相环法解调等多种解调方式,其中非

47、相干解调的原理如下图所示 m 频制的解调部分由 m 个带通滤波器、包络检波器及一个抽样判决器、逻辑电路 2 组成。各带通滤波器的中心频率分别对应发送端各个载频。因而,当某一已调载频信号到来时,在任一码元持续时间内,只有与发送端频率相应的一个带通滤波器能收到信号,其它带通滤波器只有噪声通过。抽样判决器的任务是比较所有包络检波器输出的电压,并选出最大者作为输出,这个输出是一位与发端载频相应的 m 进制数。逻辑电路 2 把这个 m 进制数译成 k 位二进制并行码,并进一步做并/串变换恢复二进制信息输出,从而完成数字信号的传输。 其原理框图如下:二进制信息串并转换逻辑电路f1f1f1门电路门电路门电路

48、相加器12。 。 。m12。 。 。mmmfsk相加器陕西理工学院毕业设计(论文) 13 接受滤波器带通滤波器 f1带通滤波器 f2带通滤波器 f3包络检波包络检波包络检波 抽样判决器逻辑电路mfsk信息12二进制信息图 3.7 多进制频率调制系统的解调方框图3.53.5 mfskmfsk 调制解调原理调制解调原理为了提高通信系统传输信息的有效性(信息传输速率或系统的频带利用率)和可靠性(抗噪声性能) ,常采用多进制数字调制技术。通常把状态数大于 2 的数字信号称为多进制信号。多进制数字调制,即用多进制信号去调制载波,例如用 m 进制的信号去键控载波而得到 m 进制已调信号,一般取 m=2k(

49、k 为正整数) ,这样一个多进制码元所传输的信息量是二进制码元的 k 倍。mfsk 系统又称为多进制调频或多频制,它是 2fsk 系统的推广,该系统有 m 个不同的载波频率可供选择,每一个载波频率对应一个 m 进制码元信息, 即用多个频率不同的正弦波分别代表不同的数字信号,在某一码元时间内只发送其中一个频率的信号。mfsk 系统框图如下图所示。当接收到某个载波时,只有一个带通滤波器有信号输出,其它的带通滤波器只有噪声输出,抽样判决电路和逻辑电路的任务就是在某一时刻比较所有包络检波器的输出电压,判断哪一路的输出最大,选出最大的输出,就得到一个多进制码元,经逻辑电路转变成 k 位二进制并行码,再经

50、并/串变换电路转换成串行二进制码,从而完成解调任务1。其原理框图如下:。 。 。m陕西理工学院毕业设计(论文) 14 图 3.8 多进制频率调制解调系统的方框图图中,串/并变换器和逻辑电路 1 将一组组输入的二进制码(每k个码元为一组)对应地转换成有()种状态的一个个多进制码。这个状态分别对应个不同的载波频率(1f,2f、,mf) 。当某组k位二进制码到来时,逻辑电路 1 的输出一方面接通某个门电路,让相应的载频发送出去,另一方面同时关闭其余所有的门电路。于是当一组组二进制码元输入时,经相加器组合输出的便是一个进制调频波形。 频制的解调部分由个带通滤波器、包络检波器及一个抽样判决器、逻辑电路

51、2 组成。各带通滤波器的中心频率分别对应发送端各个载频。因而,当某一已调载频信号到来时,在任一码元 持续时间内,只有与发送端频率相应的一个带通滤波器能收到信号,其它带通滤波器只有噪声通过。抽样判决器的任务是比较所有包络检波器输出的电压,并选出最 大者作为输出,这个输出是一位与发端载频相应的进制数。逻辑电路 2 把这个进制数译成k位二进制并行码,并进一步做并/串变换恢复二进制信息输出,从而完成数字信号的传输。3.63.6 mfskmfsk 信号的频谱、带宽及频带利用率信号的频谱、带宽及频带利用率键控法产生的 mfsk 信号,可以看作由 m 个幅度相同、载频不同、时间上互不重叠的 2ask 信号叠

52、加的结果。设 mfsk 信号码元的宽度为,即传输速率btbf1(baud) ,则频制信号的带宽为 bmmfskfffb21. 式中mf为最高选用载频,1f为最低选用载频。mfsk 信号功率谱 fp图如下所示。图 3.9 mfsk 信号的功率谱陕西理工学院毕业设计(论文) 15 若相邻载频之差等于bf2,即相邻频率的功率谱主瓣刚好互不重叠,这时的 mfsk 信号的带宽及频带利用率分别为 bmfskmfb2 (1) mmmkbkfmfskbmfsk2log22(2)式中,km 2,k=2,3.。可见,mfsk 信号的带宽随频率数的增大而线性增宽,频带利用率明显下降。与 mask 的频带利用率比较,

53、其关系为mkmkmaskmfsk122 (3)这说明,mfsk 的频带利用率总是低于 mask 的频带利用率。3.73.7 mfskmfsk 系统的误码性能系统的误码性能mfsk 信号采用非相干解调时系统的误码率为221remep ( 4)式中,r为平均信噪比。mfsk 信号采用相干解调时系统的误码率为221rerfcmep (5)可以看出,多频制误码率随m增大而增加,但与多电平调制相比增加的速度要小的多。4 mfsk 的 vhdl 建模与设计4.14.1 mfskmfsk 调制电路的调制电路的 vhdlvhdl 建模与设计及实现建模与设计及实现mfsk 信号的产生有两种方法,直接调频法和频率

54、键控法。直接调频法是用数字基带信号直接控制载频振荡器的振荡频率。频率键控法也称频率选择法,当 m=4 时,它有 4 个独立的振荡器,数字基带信号控制四选一开关,从而选择不同的高频振荡信号实现 mfsk 调制,基带信号通过串/并转换得到 2 位并行信号,四选一开关根据两位并行信号选择相应的载波输出,当某组 k 位二进制码到来时,逻辑电路 1 的输出一方面接通某个门电路,让相应的载频发送出去,另一方面同时关闭其余所有的门电路。于是当一组组二进制码元输入时,经相加器组合输出的便是一个四进制调频波形。其调制方框图如下图所示陕西理工学院毕业设计(论文) 16 图 4.1 mfsk 调制方框图在 max+

55、plusii 环境下,对 mfsk 调制电路进行 vhdl 设计,并进行编译、仿真,得到调制模块的元件图如下图 4.2 以及仿真波形如下图 4.3 所示:图 4.2 调制模块的元件图图 4.3 调制波仿真图陕西理工学院毕业设计(论文) 17 图 4.4 调制模块仿真局部放大图上图是调制程序的时序仿真图,在时序仿真中,仿真的总时间是 50us,输入的调制信号 x 为550k 的频率,输入的时钟信号 clock 为 0.9m 的频率,在时序仿真中,通过计数器 q 的变化得到并行信号 yy,根据 yy 最终得到调制波信号 y1。4.24.2 mfskmfsk 解调电路的解调电路的 vhdlvhdl

56、建模与设计及实现建模与设计及实现四频制的解调部分如下图由四个 带通滤波器、包络检波器及一个抽样判决器、逻辑电路 2 组成。各带通滤波器的中心频率分别对应发送端各个载频。因而,当某一已调载频信号到来时,在任一码元 持续时间内,只有与发送端频率相应的一个带通滤波器能收到信号,其它带通滤波器只有噪声通过。抽样判决器的任务是比较所有包络检波器输出的电压,并选出最 大者作为输出,这个输出是一位与发端载频相应的进制数。逻辑电路 2 把这个进制数译成位二进制并行码,并进一步做并/串变换恢复二进制信息输出,从而完成数字信号的传输。clkstart已调信号已调信号 x分频器分频器 q寄存器寄存器 xx计数器计数

57、器 m判决器判决器 yy并并/串转换串转换基带信号基带信号图 4.5 四频制的解调方框图对 mfsk 解调电路进行 vhdl 设计,并进行编译、仿真,得到解调模块的元件图如下图 4.6陕西理工学院毕业设计(论文) 18 及解调波仿真图如下图 4.7 与 4.8 所示:图 4.6 解调模块元件图图 4.7 解调波仿真图 图 4.8 解调波仿真局部放大图陕西理工学院毕业设计(论文) 19 图 4.8 是解调程序的时序仿真放大图,在时序仿真中,仿真的总时间是 50us,输入的调制信号x 为编辑输入的四进制时钟信号,输入的时钟信号 clock 为 0.9m 的频率,通过时序仿真,得到解调波信号 y2。

58、4.34.3 mfskmfsk 调制解调电路的调制解调电路的 vhdlvhdl 建模与设计及实现建模与设计及实现综合调制模块与解调模块就可以得到整个调制解调系统的方框图,如下图所示:clk基带信号基带信号startclkx ystartclkx ystart信号信号图 4.9 调制解调系统对 mfsk 调制解调系统电路进行 vhdl 设计,并进行编译、仿真,得到调制解调系统模块的元件图如下图 4.10 所示:图 4.10 调制解调系统模块图 4.11 调制解调时序仿真全图陕西理工学院毕业设计(论文) 20 图 4.12 调制解调系统局部放大仿真图图 4.11 与 图 4.12 是调制解调完整程

59、序的时序仿真图,在时序图中,clock 是 12.5m 频率的输入时钟信号,start 是高电平,x 为 550k 的频率的调制波,从图中可以看到输出的已调波 y1,共有四种不同宽度信号,他们代表着四种不同频率的已调信号。以及可以看到输出的解调波 y2,解调波形与原基带信号大致一样,有稍微的延迟。 5 硬件实现设定工程文件后,选择用于编程的目标芯片,以便能在编译后得到有针对性的时序仿真文件。在程序编好后,并且经过编译没有任何错误,仿真也得出了正确的波形后,就可以将程序下载到指定的芯片上。本次毕设所使用的下载芯片是 epm7128sl84-15 芯片。5.15.1 程序下载程序下载下载验证的步骤

60、以及所使用的器件如下:选择菜单 assigndevice,在弹出的对话框中的“device family”下拉列表中选择需要的器件系列(max7000) ,使 show only fastest speed grades 选项前的“”去掉,以便显示出所有速度级别的器件,选择 epm7128sl84-15 芯片。在设计中,设定某项 vhdl 为工程应该注意的问题:1.如果设计项目由多个 vhdl 文件组成,应先对低层次文件分别进行编辑、设置成工程、编译、综合,乃至仿真测试,通过以后备用。2.最后将顶层文件(存在同一目录中)设置为工程,统一处理,这时顶层文件能根据元件例陕西理工学院毕业设计(论文)

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论