数字基带信号传输码型发生器设计_第1页
数字基带信号传输码型发生器设计_第2页
数字基带信号传输码型发生器设计_第3页
数字基带信号传输码型发生器设计_第4页
数字基带信号传输码型发生器设计_第5页
已阅读5页,还剩23页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、8.15数字基带信号传输码型发生器设计1常见的几种基带码 1.单极性非归零码(NRZ码)2.双极性非归零码(NRZ码)3.单极性归零码(RZ码)4.双极性归零码(RZ码)5.差分码6.交替极性码(AMI码)7.分相码(曼彻斯特码)8.编码信号反转码(CMI码)2单极性非归零码(NRZ码)双极性非归零码(NRZ码)单极性归零码(RZ码)双极性归零码(RZ码)差分码31.单极性非归零码(NRZ码)这种传输码的零电平与正电平(或负电平)分别对应于二进制代码中的“ 0”码与“ 1”码。它的特点是:脉冲极性单一,有直流分量;脉冲波的占空比为100,即一个脉冲持续的时间等于一个码元的宽度,在整个码元期间电

2、平保持不变。单极性非归零码不能直接提取同步信号,传输时需要信道一端接地,这样不能用两根芯线均不接地的电缆等传输线。42.双极性非归零码(NRZ码)这种传输码的正、负电平分别对应于二进制代码中的“1”码与“0”码。从信号的一般统计规律看,由于“1”码与“0”码出现的概率相等,所以这种传输码的平均电平为零,即无直流分量。这样在接收端恢复信号时,其判决电平可取为零伏,因而可消除因信道对直流电平的衰减而带来判决电平变化的影响。这种传输码还有抗干扰能力强的特点。双极性非归零码的主要缺点是:不能直接从双极性码中提取同步信号; “1”“0”码不等概时,仍有直流成分。53.单极性归零码(RZ码)与单极性非归零

3、码不同,发送“1”时在整个码元期间高电平只持续一段时间,在码元的其余时间内则返回到零电平,即此方式中,在传送“1”码时发送一个宽度小于码元持续时间的归零脉冲;传送“0”码时不发送脉冲。其特征是所用脉冲宽度比码元宽度窄,即还没到一个码元的终止时刻就回到零值,因此称单极性归零码。脉冲宽度,与码元宽度T之比T叫占空比。单极性归零码与单极性非归零码比较,主要优点是可以直接提取同步信号。接下页6它可作为其它码型提取同步信号时需要采取的一个过渡码型,即其它适合信道传输,但不能直接提取同步信号的码型,可先变换为单极性归零码再提取同步信号。单极性归零码脉冲间隔明显,有利于减小码元间的波形干扰和提取同步时钟信息

4、,但由于脉宽窄,码元能量小,匹配接收时的输出信噪比比NRZ码低。74.双极性归零码(RZ码)这种传输码与RZ码相似,都是脉冲的持续时间小于码元宽度,并且都是在码元时间内回到零值。与RZ码不同的是,“1”码与“0”码分别是用正、负两种电平来表示。由于相邻脉冲之间必有零电平区域存在。因此,在接收端根据接收波形归于零电平便知道1比特的信息已接收完毕,以便准备下一比将信息的接收。接下页8正负脉冲的前沿起了起动信号的作用,后沿起了终止信号的作用,有利于接收端提取定时信号。因此可以保持正确的比特同步,即收发之间无需特别定时,且各符号独立地构成起止方式。此方式也叫做自同步方式。95.差分码差分码利用前后码元

5、电平的相对极性变化来传送信息,又称为相对码。这种传输码不是用脉冲本身的电平高低来表示二进制代码的“1”码与“0”码,而是用脉冲波的电平变化来表示码元的取值,即当码元的取值为“ 1”时,脉冲波的电平变化一次;而当码元的取值为“0”时,脉冲波的电平不变。这种方式的特点是,即使接收端收到的码元极性与发送端的完全相反,也能正确进行判决。采用这种波形传送二进制代码时,可以消除设备初态的影响,尤其对于调相系统来说,可以有效地消除解调时相位模糊的问题。106.交替极性码(AMI码)AMI码名称较多,如双极方式码、平衡对称码、传号交替反转码等。它是 CCITT建议作为基带传输系统中的传输码型之一。编码规则是,

6、二进制代码中的“1”码由正、负极性交替的脉冲表示,其脉宽等于码元周期的一半;二进制代码中的“0码由零电平表示。11此方式是单极性方式的变形,即把单极性方式中的“0”码与零电平对应,而“1”码发送极性交替的正、负电平。这种码型实际上把二进制脉冲序列变成为三电平的符号序列(故叫伪三元信号)其优点如下:在“1”、“0”码不等概条件下也无直流成分,且零频附近低频分量小,因此对具有变压器或其它交流耦合的传输信道来说,不易受到隔直特性的影响;若接收端收到的码元极性与发送端完全相反也能正确判决;只要进行全波整流就可以变为单极性码,如果交替极性码是归零的,变为单极性归零码后就可以提取同步信号。由于这些优点,因

7、此它是最常用的码型之一。12但当传输信息中存在长连“ 0”码的情况时,这种传输码将会由于长时间不出现电平跳变,从而给接收端在提取定时信号时带来困难。AMI码在连0码过多时提取定时信号有困难。这是因为在连0码时AMI输出均为零电平,连0码这段时间内无法提取同步信号,而前面非连0码时提取的位同步信号又不能保持足够的时间。这是这种传输码的不足之处。137.分相码(曼彻斯特码)这种码型的特点是每个码元用两个连续极性相反的脉冲表示。如“1”码用正、负脉冲表示,“0”码用负、正脉冲表示。这种码型不论信号的统计关系如何,均完全消除了直流分量,且有较尖锐的频谱特性。同时这种码在连1和连0的情况下都能显示码元间

8、隔,这有利于接收端提取码同步信号。148.编码信号反转码(CMI码)编码信号反转码(CMI码)是由CCITT建议、适合于光信道传输的码型之一。它的基本设想是将原来二进制代码序列中的一位码变为两位码,以增加信号的富裕度。其具体的编码规则是:二进制代码中的“1”码交替地用“11”和“00”表示;“0”码则固定地用“01”表示。接下页15CMI码是一种二元码。CMI码的特点是电平随二进制数码依次跳变,因而便于恢复定时信号,尤其当用负跳变直接提取定时信号时,不会产生相位不确定问题;具有检测错误的能力。因为在这种传输码中,只有 00、 11、 01这三种码组,而没有 10这一码组。因此,接收端可根据这一

9、特性对接收码进行检错。16基带码发生器方框图及电路符号 17常用基带码发生器的原理框图 说明:双极性的码形需要数字部分+模拟电路来实现,图中没有包含模拟电路部分,输出信号为数字信号。对双极性的信号如双极性归零码(RZ)、交替极性码(AMI)码码形输出时引入正负极性标志位,而对双极性非归零码(NRZ)和差分码码形输出时由低电平表示负极性。18基带码发生器外部接口引脚图 Dat: 二进制数据输入端;Clk: 系统时钟输入端;Start:始能信号输入端;AMI(0):交替极性码码形输出端;AMI(1):正负极性标志位输出端;SRZ(0):双极性信号码形输出端;SRZ(1):正负极性标志位输出端;CF

10、M:差分码码形输出端;CMI:编码信号反转码码形输出端;DRZ:单极性归零码码形输出端;FXM:分相码(曼彻斯特码)码形输 出端;NRZ:单极性非归零码码形输出端;19码形转换原理 说明:1.“高位”为正负极性标志位,其中高电平(1)表示负极性, 低电平(0)表示正极性; 2.“ ”表示高、低两种电平;20基带码发生器VHDL程序与仿真 21基带码发生器程序-文件名:HS_UJDM-功能:基于VHDL硬件描述语言,产生常用基带码-最后修改日期:2004.3.27library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.A

11、LL;use IEEE.STD_LOGIC_UNSIGNED.ALL;接下页22entity HS_UJDM isPort (clk : in std_logic; -系统时钟 Start : in std_logic; -始能信号dat : in std_logic_vector(15 downto 0); -二进制数据输入端NRZ : out std_logic; -非归零信号输出端DRZ : out std_logic; -单极性归零信号输出端SRZ : out std_logic_vector(1 downto 0);-双极性归零信号输出端AMI : out std_logic_vec

12、tor(1 downto 0); -交替极性信号输出端CFM : out std_logic; -差分信号输出端CMI : out std_logic; -编码信号反转码信号输出端FXM : out std_logic); -分相码(曼彻斯特码)信号输出端end HS_UJDM;architecture Behavioral of HS_UJDM isbegin接下页23process(clk,start)variable latch_dat : std_logic_vector(15 downto 0); -十六位二进制信号锁存器variable latch_sig : std_logic;

13、 -高位信号锁存器variable latch_cfm : std_logic; -差分码信号寄存器variable latch_cnt : std_logic; -基带码同步信号variable count_fri : integer range 0 to 8; -分频计数器(码宽定义)variable count_mov : integer range 0 to 16; -移位计数器beginif start=0 then latch_cnt:=0; -异步复位latch_cfm:=0; latch_sig:=0; count_fri:=7;count_mov:=16; -异步置位latc

14、h_dat:=00000;接下页24elsif rising_edge(clk) then count_fri:=count_fri+1; -分频计数器+1 if count_fri=8 then count_fri:=0; -计数到8if count_mov16 then count_mov:=count_mov+1; -移位计数器+1 latch_sig:=latch_dat(15); -二进制码高位移入latch_sig中 atch_dat:=latch_dat(14 downto 0)&0; -二进制数据向高位移动一位,低位补零 else latch_dat:=dat;count_mo

15、v:=0; -载入下一轮将发送的数据 latch_cfm:=0;latch_sig:=0;latch_cnt:=0; -寄存器复位end if; if latch_sig=1 then latch_cfm:=not(latch_cfm); -差分码信号寄存器中信号取反end if; end if; if count_fri4 then latch_cnt:=1; -基带码同步信号的占空比调节 else latch_cnt:=0; end if; end if;接下页25NRZ=latch_sig; -非归零码信号DRZ=latch_sig and latch_cnt; -单极性归零码信号SRZ(0)=latch_cnt; -双极性归零码信号SRZ(1)=not(latch_sig); -SRZ(1)=1表示负极性AMI(0)=latch_sig and latch_cnt;

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论