模拟电子技术基础课后答案_第1页
模拟电子技术基础课后答案_第2页
模拟电子技术基础课后答案_第3页
模拟电子技术基础课后答案_第4页
模拟电子技术基础课后答案_第5页
已阅读5页,还剩41页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

第3章逻辑代数及逻辑门

[3-1]填空

1、与模拟信号相比,数字信号的特点是它的离散性。一个数字信号只有两种取值分别

表示为L和1_。

2、布尔代数中有三种最基本运算:与、或和非,在此基础上又派生出五种

基本运算,分别为与非、或非、异或、同或和与或非。

3、与运算的法则可概述为:有“0”出ɪ,全“1”出」;类似地或运算的法则为有“1"

出全,,0,,出,,°,,。

4、摩根定理表示为:^A7B=A+B;A+B=A-B,

5、函数表达式Y=A8+C+力,则其对偶式为y'=(A+B)C∙O.

6、根据反演规则,若Y=A耳+C+O+C,则P=(A豆+C+O)•仁。

7、指出下列各式中哪些是四变量ABCD的最小项和最大项。在最小项后的()里填

入在最大项后的()里填入Mi,其它填X(i为最小项或最大项的序号)。

(1)A+B+D(×);(2)ABCD(m7);(3)ABC(×)

(4)AB(C+Q)(X);(5)A+B+C+D(M9);(6)A+B+CD(×);

8、函数式F=AB+BC+CD写成最小项之和的形式结果应为±”"367.11.12.13,14,15),写

成最大项之积的形式结果应为口用(0.12458910)

9、对逻辑运算判断下述说法是否正确,正确者在其后()内打对号,反之打义。

(1)若x+y=x+z,则Y=z;(X)

(2)若XY=XZ,贝IjY=Z;(×)

(3)若X㊉Y=X㊉Z,则Y=Z;(√)

[3-2]用代数法化简下列各式

(1)Fi=ABC+AB=1(2)F2=ABCD+ABD+ACD=AD

(3)=AC+ABC+ACD+CD(4)F4^A+B+C∙(A+B+C)∙(A+B+C)

=A+CD=A+豆C

【3-3】用卡诺图化简下列各式

(1)F1=BC+AB+ABC(2)F2=AB+BC+BC

=AB+C=A+B

(3)玛—AC+AC+BC+BC(4)F4=ABC+ABD+ACD+CD+ABC+ACD

=AB+AC+BC=A+D

或无月C

(5)Fi^ABC+AC+ABD(6)F6^AB+CD+ABC+AD+ABC

AB+AC+BD=A+BC+CD

(7)AC+AB+BCD+BD+ABD+ABCD(8)Fs=AC+AC+BD+BD

=A+BD+BDABCD+ABCD+

ABCD+ABCD

(9)居=Z(C㊉rO+BeD+AC5+A与8=C5+E

(IO)Fio=F↑Q=AC+AB+BCD+BEC+DEC=AB+AC+BD+EC

[3-41用卡诺图化简下列各式

(1)Pl(4,B,0=Zm(0,l,2,5,6,7)=Aβ+AC+BC

(2)P2(A,B,C,D)=ɪm(θ,l,2,3,4,6,7,8,9,10,11,14)=ΛC+AD+β+CD

(3)P3(A,B,C,D)=ɪ/^θ,1,,4,6,8,9,10,12,13,14,15)=AB+5C+AD+βD

(4)P4(A,B,C,D)=Mi∙M1=A+BC+BC+D

[3-5]用卡诺图化简下列带有约束条件的逻辑函数

(1)F↑(A,B,C,D)=ɪ;/n(ɜ,6,8,9,11,12)+^(0,1,2,13,14,15)=AC+BD+BCD(^ACD)

(2)P2(A,B,CQ)=Zm(0,2,3,4,5,6,11,12)+Zl(8,9,10,13,14,15)=BC+βC+D

(3)P3=A+C+D+ABCD+ABCD=AD+ACD+BCD(^ABD)AB+AC=O

(4)PA=ABCD+ABCD=A+B

(A8C。为互相排斥的一组变量,即在任何情况下它们之中不可能两个同时为1)

[3-6]已知:Yl=AB+AC+BDY2=ABCD+ACD+BCD+BC

用卡诺图分别求出X∙χ,Yl+Y2,K㊉尉。

解:先画出Y1和Y2的卡诺图,根据与、或和异或运算规则直接画出γl-γ2,Y1+Y2,γl^γ2

的卡诺图,再化简得到它们的逻辑表达式:

Y1Y2=ABD+ABC+CD

Yi+Y2^AB+C+BD

X㊉匕=ABCD+ABC+BCD+ACD

第4章集成门电路

[4-1]填空

1.在数字电路中,稳态时三极管一般工作在幽(放大,开关)状态。在图4.1中,若

t∕ι<O,则晶体管(截止,饱和),此时UO=3.7V(5V,3.7V,2.3V):欲使晶体管处于

饱和状态,U需满足的条件为b(a.C∕,>0;b.^-0∙7≥⅛;c.上空<丝邑)。在

Rb%&βRc

电路中其他参数不变的条件下,仅Rb减小时,晶体管的饱和程度加深(减轻,加深,不

变);仅凡减小时,饱和程度减轻(减轻,加深,不变)。图中C的作用是加速(去

耦,加速,隔直)。

G

⅛z>

图4.2

2.由TTL门组成的电路如图4.2所示,己知它们的输入短路电流为∕s=1.6mA,高电

平输入漏电流∕R=40μA0试问:当A=B=I时,G,的灌(拉,灌)电流为3.2mA;A=O

时,GI的拉(拉,灌)电流为160〃A。

3.图4.3中示出了某门电路的特性曲线,试据此确定它的下列参数:输出高电平

UoH=3V;输出低电平Ua=0.3V;输入短路电流A=1.4mA:高电平输入漏电流

IR=0.02mA;阈值电平UT=1.5V;开门电平UON=1∙5V;关门电平UOFF=I.5V;

低电平噪声容限UNL=1.2V;高电平噪声容限UNH=I.5V:最大灌电流∕CLM*15mA:

扇出系数Ml=IO。

图4.3

4.TTL门电路输入端悬空时,应视为高电平(高电平,低电平,不定);此时如用万

用表测量输入端的电压,读数约为1.4V(3.5V,0V,1.4V)o

5.集电极开路门(OC∏)在使用时须在输出与电源(输出与地,输出与输入,输出

与电源)之间接一电阻。

6.CMOS门电路的特点:静态功耗极低(很大,极低);而动态功耗随着工作频率的

提高而增加(增加,减小,不变):输入电阻很大(很大,很小);噪声容限高(高,低,等)

于TTL门

[4-2]电路如图4.4(a)〜⑴所示,试写出其逻辑函数的表达式。

CMOSTTLCMOS

6

(c)

TTL

(d)

解:(a)Fi=A(b)F2=∖

(d)F4=AB(e)品=1(f)Fb=B

【4-3】图4.5中各电路中凡是能实现非功能的要打对号,否则打X。图(a)为TTL

门电路,图(b)为CMoS门电路。

解:

(b)

图4.5

【4-41要实现图4.6中各TTL门电路输出端所示的逻辑关系各门电路的接法是否正确?

如不正确,请予更正。

解:

F=ΛφBQC

×

F=AB+CD

图4.6

[4-5]TTL三态门电路如图4.7(a)所示,在图(b)所示输入波形的情方下,画出尸端的波形。

A-------------

B-------------

C________________

(b)

图4.7

解:

[4-6]图4.8所示电路中GI为TTL三态门,G?为TTL与非门,万用表的内阻20kQ∕V,

量程5V。当C=I或C=O以及S通或断等不同情况下,Uol和Uo2的电位各是多少?请填入

表中,如果G2的悬空的输入端改接至0.3V,上述结果将有何变化?

图4.8

解:

CS通________S断

1Uoι=I.4VUoi=OV

1U02=0.3VUo2=0.3V

0Uoi=3.6VUoi=3.6V

0U02=OJVUO2=0.3V

若G2的悬空的输入端接至0.3V,结果如下表

CS通S断

1Uoi=0.3VUoi=OV

1UO2=36VUO2=3.6V

0Uoi=3.6VUoi=3.6V

0Uo2=3.6VUo2=3.6V

[4-7]已知TTL逻辑门UoH=3V,UoL=O.3V,阈值电平UT=I4V,试求图4.9电路中各电压

表的读数。

解:

[4-8]如图4.10(a)所示CMoS电路,已知各输入波形4、B、C如图(b)所示,R=IokΩ,请

画出F端的波形。

>—F

aJII~_II[_

B-∏∏I__Ir

BI—∖______c___∏_I^^LΠJI___Γ

c-----1R

I

(a)(b)

图4.10

解:

当C=O时,输出端逻辑表达式为F=N工月;当C=I时,F=A,即,F=A+BC+AC.

答案见下图。

【4-9】由CMOS传输门和反相器构成的电路如图4.11(a)所示,试画出在图(b)波形作用下的

输出Uo的波形(UlI=IOVU∣2=5V)

(a)(b)

图4.11

解:

输出波形见解图。

g

10V------------

0------

10V------------

5V------

0

第5章组合数字电路

[5-1]分析图5.1所示电路的逻辑功能,写出输出的逻辑表达式,列出真值表,说明其逻

辑功能。

图5.1

解:YɪABC+ABC+ABC+ABC

=Z〃2(0,3,5,6)=A㊉3㊉C

[5-2]逻辑电路如图5.2所示:

1.写出S、C、P、L的函数表达式;

2.当取S和C作为电路的输出时,此电路的逻辑功能是什么?

(5-2)解:

1.S=X㊉y㊉Z

c=xgz)+κz=χy+xz+κz

P=Y㊉Z

L^YZ

2.当取S和C作为电路的输出时,此电路为全加器。

【5-3】图5.3是由3线/8线译码器74LS138和与非门构成的电路,试写出P1和P2的表达式,

列出真值表,说明其逻辑功能。

解:

6=Zm(0,7)=ABC+ABC

P2=£加(1,2,3,4,5,6)=砧+与。+4。或鸟=彳8+3。+囚。

[5-4]图5.4是由八选一数据选择器构成的电路,试写出当GlGo为各种不同的取值时的

输出Y的表达式。

图5.4

解:

结果如表A5.4所示。

表A5.4

GoY

00A

0IA㊉B

I0AB

1IA㊉B

[5-5]用与非门实现下列逻辑关系,要求电路最简。

W=Z机(11,12,13,14,15)

-L=ZM3,7,11,12,13,15)

R=Za(3,7,12,13,14,15)

解:

卡诺图化简如图A5.5所示。

OoOlH100001111000011110

'τ'

OO0000000000000T0

010000Ol000Ol000

'τ'

G□g

11]工11工011ɪ]工

10000IO000IO0000

图A5.5

Pt=AB+ACDP2=ABC+ACD+ACDP3=AB+ACD

将上述函数表达式转换为与非式,可用与非门实现,图略。

[5-6]某水仓装有大小两台水泵排水,如图5.6所示。试设计一个水泵启动、停止逻辑

控制电路。具体要求是当水位在“以上时,大小水泵同时开动;水位在“、M之间时,只

开大泵;水位在M、L之间时,只开小泵;水位在乙以下时,停止排水。(列出真值表,写

出与或非型表达式,用与或非门实现,注意约束项的使用)

图5.6

解:

1.真值表如表A5.6所示;

表A56

HML/2R

OOO00

0010I

010×X

01110

100XX

101XX

110XX

11111

2.卡诺图化简如图A5.6所示;

3.表达式为

F2=M

ML+H=MH+LH

或按虚线框化简可得G=QW+Z。图略。

【5-7】仿照全加器设计一个全减器,被减数A,减数B,低位借位信号Λ),差D,向高

位的借位J,要求:

1.列出真值表,写出。、J的表达式;

2.用二输入与非门实现;

3.用最小项译码器74LS138实现;

4.用双四选一数据选择器实现。

解:

1.设被减数为A,减数为8,低位借位为Jo,差为D,借位为人列真值表如表A5.7

所示。

表A5.7

ABJoDJ

00000

001I1

01011

0I101

100I0

10100

1I000

111II

化简可得

D(A,B,J0)=Zm(1,2,4,7)=A㊉B㊉/0

<_____

J(A氏J。)=∑m(l,2,3,7)=A@BJn+AB

2.用二输入与非门实现的逻辑图见图A5.7(a)o

3.用74LS138实现的逻辑图见图A5.7(b)°

4.用双四选一数据选择器实现的逻辑图见图A5.7(c),

(a)

(b)(c)

图A5.7

[5-8]设计一组合数字电路,输入为四位二进制码S&BBo,当B3B2BI0是BCD8421码

时输出卜=1;否则丫=0。列出真值表,写出与或非型表达式,用集电极开路门实现。

解:

1.根据题意直接填写函数卡诺图,如图A5.8(a)所示。化筒为0的最小项,可得输出Y

的与或非式

Y=B3B3B3B1

2.用集电极开路门实现的逻辑图见图A5.8(b)o

(b)

图A5.8

[5-91试用最小项译码器74LS138和和一片74LS00实现逻辑函数

1(A,8)=Z,〃(0,3)

鸟(A,8)=Z"(1,2,3)

解:

本题有多种答案,答案之一如图A5.10所示,其余答案请同学自行设计。

BIN/OCT

O

B—O

1

A—I

2p

2E>-∣

3

4

5

6

&EN

7

图A5.10

[5-10]试用集成四位全加器74LS283和二输入与非门实现BCD8421码到BCD5421码

的转换。

解:

将BCD8421码转换为BCD5421码时,则前五个数码不需改变,后五个数码需要加3,

如表A5.ll所示。

表A5.ll

被加数(BCD8421)加数和(BCD5421)

43A2Λ∣AoBiBlBOS3S2s↑5()

000000000000

000100000001

001000000010

001I00000011

010000000100

010100111000

0110001I1001

011100II1010

100000111011

100100111100

由表可得74LS283的加数低两位的卡诺图,见图A5.11(a)所示。设BCD8421码输入为

DCBA9则化简可得

B1=Bo=D+CB+CA=D∙CB∙CA

用74LS283和二输入与非门实现的逻辑图见图A5.11(b)o

BJBoBAS3S2S∣SO

DC\0001111074LS283

00ʌʒA?AIAoB3B2B]Bo

01

【5-11】设计一个多功能组合数字电路,实现表5.1所∙示逻辑功能。表中G,Co为功能选

择输入信号;A、8为输入变量;尸为输出。

1、列出真值表,写出尸的表达式;

2、用八选一数据选择器和门电路实现。

表5.1

ΞΠΛ+B

LOA㊉3

^□LZJ4㊉3

解:

1.输出b的表达式为

F=G)Aβ+CoAB+C]AB+CoAβ+GC^A.B

2.用八选一数据选择器和门电路实现逻辑图如图A5.12所示。图中

D()=D3=D4=Dy=B;£)i=l;£>2=0;Ds=D(i=B

图A5.I2

[5-12]电路如图5.12(a)所示。

1.写出L,Q,G的表达式,列出真值表,说明它完成什么逻辑功能。

2.用图5.12(a)、(b)所示电路构成五位数码比较器。

YAVBYA=BYA>B

(A<B)i

(A=B)i74LS85

(A>B)i

ʌɜATAIA0B3B2B∣Bo

(a)(b)

图5.12

解:

1.输出函数表达式为

L=ABG=ABQ=AB+AB

该电路为一位数码比较器。

2.将一位数码比较器的输出L、Q、G接到74LS85的串行输入端即可。

[5-14]解:

设合格为“1”,通过为“1”;反之为“0”。根据题意,列真值表见表A5.14。

表A5.14

ABCF

0000

0010

0100

01I0

1000

I0I1

1101

I1II

化简可得

【5-13]某汽车驾驶员培训班进行结业考试,有三名评判员,其中A为主评判员,B和C

为副评判员。在评判时,按照少数服从多数的原则通过,但主评判员认为合格,方可通过。

用与非门组成的逻辑电路实现此评判规定。

解:

设合格为“1”,通过为“1”;反之为“0”。根据题意,列真值表见表A5.14。

表A5.14

ABCF

0000

0010

0100

0110

I000

1011

1101

1111

化简可得

F=AB+AC=ABAC

[5-14]分析图P5.16所示电路中,当A、B、C、。只有一个改变状态时,是否存在竞争

冒险现象?如果存在,都发生在其他变量为何种取值的情况下?

图5.14

解:

由图可知表达式为

ACD+ABD+BC+CD

当B=O且C=O=I时:Y=A+A

当A=D=∖且C=O时:Y=B+B

当B=l,D=0或B=D=1时:Y=C+C

当A=0,C=1或A=C=I,B=O时:Y=D+D

第6章触发器

[6-1]已知由与非门构成的基在RS触发器的直接置“0”端和直接置“1”端的输入波形如

图6.1所示,试画出触发器。端和。端的波形。

Sd

Q

Q

图6.1

解:

基本RS触发器Q端和Q端的波形可按真值表确定,要注意的是,当用和Sd同时为“0”

时,Q端和。端都等于“1”。&和亍d同时撤消,即同时变为“1”时,。端和。端的状态

不定。见图6.1(b)所示,图中。端和O端的最右侧的虚线表示状态不定。

«d

5d

Q

不定状态

Q

图6.1(b)题6-1答案的波形图

[6-21触发器电路如图6.2(a)所示,在图(b)中画出电路的输出端波形,设触发器初态

为“0”。

解:

此题是由或非门构成的RS触发器,工作原理与由与非门构成的基本RS触发器一

样,只不过此电路对输入触发信号是高电平有效。参照题6-1的求解方法,即可画出输出端

的波形,见图6.2(c)。

RaI—II—II—I

sʃnII∏

QJ^^I_______I^^I__□.:1

Q-1I--------1∏f""j不定状态

图6.2(c)

[6-3]试画出图6.3所示的电路,在给定输入时钟作用下的输出波形,设触发器的初

态为“0”。

CPʃLn_TLn_n_n_n_TL

图6.3

解:

见图6.3(b)所示,此电路可获得双相时钟。

cp_rɪʃɪʃɪʃɪʃɪʃ-!_

Q

QL

z∩[-1

图6.3(b)

[6-4]分析图6.4所示电路,列出真值表,写出特性方程,说明其逻辑功能。

解:

I.真值表(Cp=O时,保持;CP=I时,如下表)

2.特性方程Q"∣=Z>

3.该电路为锁存器(时钟型。触发器)。CP=O时;不接收。的数据;CP=I时,把

数据锁存,但该电路有空翻。

【6-5】试画出在图6.5所示输入波形的作用下,上升和下降边沿JK触发器的输出波形。

设触发器的初态为“0”。

SʃLrLnT^LΓLΓUΓLΓ^

J_JI_II____I

图6.5

解:

见图6.5(b)所示。

[6-6]试画出图P6.6(a)所示电路,在图6.6(b)给定输入下的。端波形,设触发器初态

为“0”。

IJ__0c‰Γ^LΓ^LJ^^LΓ^LΓ^LJ^"LJ^^LΓ^L

CP—>>Cl一d-π∏∏∏π

ɔ—QQ

n______IK

(a)(b)

图6.6

解:

见图6.6(b)所示。

epʃLrmʃurvLʃrʃu

Dπ~ιIin1!π;口;;

gI—I'''

图6.6(b)

[6-7]根据特性方程,外加与非门将D触发器转换为JK触发器,应如何实现?若反

过来将JK触发器转换为D触发器,应如实现?

解:J-K触发器特性方程Qn+1=JQ"+KQn

D触发器特性方程Qn+,=D

D触发器转换为J-K触发器D=JQn+KQn=JQnKQn如图6.7(a)所示。

J-K触发器转换为D触发器J=D,K=万如图6.7(b)所示。

图6.7

[6-8]电路如图6.8(a)所示,触发器为维持阻塞型D触发器,各触发器初态均为“0”。

1.在图(b)中画出CP作用下的QoQ和Z的波形;

2.分析Z与CP的关系。

CP

Q)

β∣

Z

(b)

图6.8

解:1、CP作用下的输出QoQl和Z的波形如下图;2、Z对CP三分频。

[6-9]电路如图6.9(a)所示,试在图(b)中画出给定输入波形作用下的输出波形,各触

发器的初态均为“0”;根据输出波形,说明该电路具有什么功能?

FF0空I

-F

A-----------IDQIDQ----------4D

------>C1>C1

CP------------------------------------

(a)

cp_n_n_n_n_n_TLrmLrL

AJI----------------

(b)

图6.9

解:输出波形图见图6.9(C)

CP-TUrLrLrLnLrLrLn_n_

图6.9(c)

[6-10]电路如图6.10所示,试在图(b)中画出给定输入波形作用下输出端Q和Qi的

波形,设各触发器的初态均为“0”。

CP

A

AL

Q0

0

(a)(b)

图6.10

解:输出波形图见图6.10(C)

[6-11]电路如图6.11所示,试在图(b)中画出给定输入波形作用下输出端QO和Ql

波形,各触发器的初态均为“0”。

FF

0FF1

Uɪ,,^.Π,ΓLΓLΓW^T-ΓLΓLnT^L

ΛAIII__II

2|_

(a)(b)

图6.11

解:

见图6.11(b)所示。该电路A输入每出现一次下降沿,Q端就输出一个宽度等于时钟周

期的脉冲。

CP_TLJrLr^TUΓLT^L∏LCLJΓLΓr

A-lɪ/I1/LI

J卜!II

Q'\产1.'产I1-

图6.11(b)

第7章时序逻辑电路

[7-1]已知时序逻辑电路如图7.1所示,假设触发器的初始状态均为0。

(1)写出电路的状态方程和输出方程。

(2)分别列出X=O和X=I两种情况下的状态转换表,说明其逻辑功能。

(3)画出X=I时,在CP脉冲作用下的Qi、。2和输出Z的波形。

解:

1.电路的状态方程和输出方程

。产=.谈+.;迹

n

<2Γ'=e1θe"

Z=Q苍CP

2.分别列出X=O和X=I两种情况下的状态转换表,见题表7」所示。逻辑功能为

当X=O时,为2位二进制减法计数器;当X=I时,为3进制减法计数器。

3.X=I时,在CP脉冲作用下的Q、。2和输出Z的波形如图7.1(b)所示。

题表7.1

-Ix=ι

00I________

图7.1(b)

[7-2]电路如图7.2所示,假设初始状态QaQbQC=Oo0。

(1)写出驱动方程、列出状态转换表、画出完整的状态转换图。

(2)试分析该电路构成的是几进制的计数器。

解:

1.写出驱动方程

Ja=Ka=IJb=Kb=•逑JC=Q;aKe=。:

2.写出状态方程

QF=述QA=Q:Q;Q:+Q;Q:Q;Qa=Q:回述+迹金

3.列出状态转换表见题表7.2,状态转换图如图7.2(b)所示。

表7.2状态转换表

CPQcae

0000

1001

2010

3011

4I00

5101

6000

4.由FFa、FFb和FFC构成的是六进制的计数器。

17-31在二进制异步计数器中,请将正确的进位端或借位端(。或0)填入下表

触发方式________________计数器类型________________

加法计数器减法计数器

上升沿触发由()端引出进位由()端引出借位

下降沿触发由()端引出进位由()端引出借位

解:

题表7-3

触发方式加法计数器减法计数器

上升沿触发由。端引出进位由。端引出借位

下降沿触发由。端引出进位由。端引出借位

[7-4]电路如图7.4(a)所示,假设初始状态。2。1。()=000。

I.试分析由FFl和FFO构成的是几进制计数器;

2.说明整个电路为几进制计数器。列出状态转换表,画出完整的状态转换图和CP

作用下的波形图。

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论