可编程逻辑器件设计及应用试验报告_第1页
可编程逻辑器件设计及应用试验报告_第2页
可编程逻辑器件设计及应用试验报告_第3页
可编程逻辑器件设计及应用试验报告_第4页
可编程逻辑器件设计及应用试验报告_第5页
已阅读5页,还剩28页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

Harbin Institute of Technology可编程逻辑器件设计及应用实验报告实验一:电路图方法设计:异步 16 分频 一、 实验内容1、熟悉 ISE M 的安装及使用2、熟悉电路图方式的输入方法;3、熟悉 ISE 环境下的波形仿真4、学习 SIE 安装过程5、建立一个新的工程(cpld 9500 系列)6、输入电路图异步 16 分频,如图 1-1 所示:图 1-1二 实验结果1、异步 16 分频电路图如图 1-2 所示:图 1-22、 建立测试波形方法仿真激励图形,如图 1-3 所示:图 1-33、 引脚约束条件如下:NET “CLK“ LOC = “P6“;NET “CLR“ LOC = “P7“;NET “O1“ LOC = “P42“;NET “O2“ LOC = “P37“;NET “O3“ LOC = “P40“;NET “O4“ LOC = “P39“;4、 最终仿真结果如图 1-4,1-5 所示:图 1-4图 1-5三 实验结果讨论分析通过本次试验,初步掌握了 ISE 的使用方法,通过 ISE 自带库文件完成电路的搭建,实现了对输入时钟的 2 分频,4 分频,8 分频和 16 分频,通过最终的试验验证得到了正确的试验结果。指导教师签字:实验二 电路图方法分层设计:全加器 一、实验内容1、 建立一个新的工程(cpld 9500 系列)2、 建立一个独立的电路图(All_ADD)3、 输入电路图: 一位全加器图 2-1 一位全加器4、 建立测试波形方法仿真激励图形图 2-2 波形仿真激励5、 功能仿真记录结果,分析正确性。6、 生成电路模块图 2-3 模块建立7、 利用电路模块设计 8 位全加器, (新电路图或者顶层电路图)图 2-4 8 位全加器(顶层电路图)8、 建立测试波形方法仿真激励图形图 2-5 8 位全加器波形仿真激励9、 学习总线数据预置方式:通过使用相同名字来对总线进行连接设置,和总线数据格式。二 实验结果一位全加器功能仿真结果:图 2-6 功能仿真结果8 位全加器功能仿真结果一(无进位):图 2-7 功能仿真结果8 位全加器功能仿真结果二(有进位):图 2-8 功能仿真结果三 实验结果讨论分析本次试验充分体现了模块化设计思想,首先我们运用库文件实现了一位全加器,也即实验中的 ALL_ADD 模块,在实现此模块后进行仿真分析,确定无误后,运用 8 个一位全加器实现实现 8 位全加器,考虑进位。在实验中进一步熟悉了软件的使用流程和具体的实际操作如操作总线结构等基本操作,可谓知行合一。指导教师签字:实验三 Verilog 语言方法设计:8 位全加器 一、实验内容1、 建立一个新的工程(cpld 9500 系列)2、 建立一个 Verilog 模块(All_ADD8)图 3-1 建立一个新的 verilog 模块3、 输入全加器图 3-2 输入全加器4、 建立测试波形方法仿真激励图形测试波形一:图 3

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论