




全文预览已结束
下载本文档
版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
第一章 引言1.1 设计任务设计一简易数字秒表,其基本要求是:(1) 测量时间范围 0.0159.99(2) 显示方式为 4位十进制数显示。(3) 使用 Max+Plus II进行仿真。(4) 具有启动/停止计数功能。1.2 简介数字秒表是数字电路中的一个典型应用,实际的硬件设计用到的器件较多,连线比较复杂,而且会产生比较大的延时,造成测量误差、可靠性差。随着可编程 ASIC的广泛应用,以 EDA工具作为开发手段,基于 VHDL语言,将使整个系统大大简化,提高整体的性能和可靠性。用可编程器件设计数字秒表的途径很多。本文介绍针对实验教学所使用的一种方法,使用的器件均是在实验中最常用的,包括 Altera公司的 FLEX10K系列,而且外部设备也很简单。通时间的长短对被测信号正脉冲进行计数;2)静态显示模块,对计数的结果进行显示,从而完成整个计数的过程。系统的工作原理是,将系统信号两次10倍分频,达到需要精度。利用键盘对秒表计数进行控制,开始/暂停。使用简便,精确。第二章 方案设计及总体原理论述本系统分为时钟输入部分,键盘部分,分频器部分,计数,显示部分。其中分频器和计数部分合成在一个 FPGA 芯片之中。时钟部分来自实验箱 10KHZ 方波。键盘部分利用实验箱本身的键盘,显示部分由计数器的输出直接给实验箱的数码管静态显示部分。 (如图 1 所示)分频器 计数器1 0 K H z 时钟发生器显示F P G A键盘 ( 开始 / 暂停 )图 1 总体设计2 1 分频器部分工作原理:当 CLK 上升沿到来时,60 分频器 COUNT 加一,当加到 60 时与之相连的管脚 CLK60 取反。为节省资源 10 分频器与 60 分频器共用,当 COUNT 加到 10 的整数倍时 CLK10 取反。波形图如下:2 2 计数部分工作原理:当 CLK 上升沿到来时,T0 加一,当 T0=9 时,下一上升沿到来时将 T0 清零,T1 加一。当 T1=9 时,下一上升沿到来时将 T1 清零,T2 加一。当 T2=9 时,下一上升沿到来时将 T2 清零,T3 加一。这样就实现了百分秒,十分秒,秒和十秒的进位关系。波形图如下:第三章 程序实现整个程序分为两个部分组成,每个部分定义成一个独立器件。3 1 分频器部分library ieee;use ieee.std_logic_1164.all;-entity count60 isport(clk,en : in std_logic;clock60,clock10 : buffer std_logic);end count60;-architecture count60_arc of count60 isbegin-process(clk,en)variable count:integer range 0 to 60 :=0;beginif en=0 thenNULL;elsif (rising_edge(clk) thencount:=count+1;if count=60 thenclock60=not clock60; count:=0;end if;if(count=10 or count=20 or count=30 or count=40 or count=50 or count=0)thenclock10 = not clock10;end if;end if;end process;end count60_arc;3 2 计数器部分library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity list isport(clk : in std_logic;q0,q1,q2,q3 : out std_logic_vector(3 downto 0);end list;architecture a of list issignal t0,t1,t2,t3 : std_logic_vector(3 downto 0);beginprocess(clk)beginif rising_edge(clk) thenif(t0=9)thent0=“0000“;t1=t1+1;elset0=t0+1;end if;if(t1=9)thent1=“0000“;t2=t2+1;end if;if(t2=9)thent2=“0000“;t3=t3+1;end if;
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 数字化时代下国企改革的机遇与挑战
- 环境可持续性对跨境电商的影响与应对策略
- 商业空间标识系统设计基础知识点归纳
- 理赔业务风险管理跨部门沟通机制完善风险基础知识点归纳
- 数字化教育转型中的教联体创新实践
- 学生应急救护知识素养评价与提升策略
- 职场沟通艺术
- 大雪饮食养生攻略
- 春意传媒策略
- 心理健康探索之旅
- 商业模式画布模板
- GB/T 42902-2023碳化硅外延片表面缺陷的测试激光散射法
- 中式烹调一体化课件-《红烧猪肉》说课
- 山东省地震应急与救援办法
- 江苏省苏州市某中学2022-2023学年八年级下学期期末语文试题
- 脊神经专题知识讲座
- MT-T 1201.6-2023 煤矿感知数据联网接入规范 第6部分:工业视频
- 吉林省主要地区风玫瑰图
- 互联网宗教信息服务管理办法的考试摸拟考试题目
- 肿瘤免疫治疗的成功与挑战
- 松花江水污染事件工程伦理案例分析
评论
0/150
提交评论