应用电子技术毕业设计(论文)-基于单片机的简易数字频率计设计.doc_第1页
应用电子技术毕业设计(论文)-基于单片机的简易数字频率计设计.doc_第2页
应用电子技术毕业设计(论文)-基于单片机的简易数字频率计设计.doc_第3页
应用电子技术毕业设计(论文)-基于单片机的简易数字频率计设计.doc_第4页
应用电子技术毕业设计(论文)-基于单片机的简易数字频率计设计.doc_第5页
已阅读5页,还剩26页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

电子工程学院毕业论文(设计)课 题 简易数字频率计 教 研 室 电子教研室 专 业 应用电子技术 班 级 08级应用电子班 学生姓名 学号 导师姓名 职称 讲 师 2011年 1月 8 日摘 要采用自上向下的设计方法,设计了基于复杂可编程逻辑器件的数字频率计。以at89c51单片机作为系统的主控部件,完成电路的测试信号控制、数据运算处理、键盘扫描和控制数码管显示。用vhdl语言编程,由cpld(complex programmable logic device)完成各种时序控制及计数功能。该系统具有结构紧凑、可靠性高、测频范围宽和精度高等特点。关键词 可编程逻辑器件;cpld;等精度测量法;单片机;vhdlabstractwith the adoption of the top-down design method and at89c51 scmc (single chip misc computer) as the master control component of the system the circuit test signal controlling data operation processing keyboard scanning and nixie tube display as well were completed by the digital cymene. a cpld programmed by vhdl realized various sequence control and count function. the system is characterized by impact structure high reliability high precision and wide frequency-test-range. key words programmable logic component; cpld; measures mensuration;single chip misc computer;vhdl 目 录绪 论1第一章 设计方案的选择31.1 频率测量模块31.1.1 直接测量法31.1.2 组合测频法41.1.3 倍频法41.1.4 等精度测频法41.2 周期测量模块51.2.1 直接周期测量法51.2.2 等精度周期测量法61.3 脉冲宽度测量模块61.4 占空比测量模块61.5 标准频率发生电路61.6 小信号处理部分61.6.1 采用分立元件71.6.2 采用运算放大器71.6.3 直接采用比较器7第二章 基本测量原理与理论误差分析72.1 等精度频率/周期测量技术72.1.1量化误差72.1.2 标准频率误差82.2 预置门时间信号与闸门时间信号82.3 高精度恒误差周期测量方法82.4 脉冲宽度测量理论误差分析92.5 周期脉冲信号占空比测量误差分析9第三章 方案的实现93.1 稳压电源设计93.2 测量控制电路93.3 输入信号处理部分103.4 小信号处理部分103.5 标准频率方波发生电路113.6 显示器电路123.7 实际数字测量部分143.7.1 频率测量与周期测量电路143.7.2 控制部分设计153.7.3 脉冲宽度测量和占空比测量电路16第四章 单片机控制与运算程序的设计184.1 单片机控制与运算的主流程图18第五章 结束语19参考文献20致 谢21附 录2226绪 论数字频率计是数字电路中的一个典型应用,是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器。实际的硬件设计用到的器件较多,连线比较复杂,而且会产生比较大的延时,造成测量误差、可靠性差。随着复杂可编程逻辑器件(cpld)的广泛应用,以eda工具作为开发手段,运用vhdl语言,将使整个系统大大简化, 提高整体的性能和可靠性。采用vdhl编程设计实现的数字频率计,除被测信号的整形部分、键输入部分和数码显示部分以外,其余全部在一片fpga芯片上实现,整个系统非常精简,而且具有灵活的现场可更改性。在不更改硬件电路的基础上,对系统进行各种改进还可以进一步提高系统的性能。该数字频率计具有高速、精确、可靠、抗干扰性强和现场可编程等优点。本文用vhdl在cpld器件上实现一种8b数字频率计测频系统,能够用十进制数码显示被测信号的频率,不仅能够测量正弦波、方波和三角波等信号的频率,而且还能对其他多种物理量进行测量。具有体积小、可靠性高、功耗低的特点。cpld是一种新兴的高密度大规模可编程逻辑器件,它具有门阵列的高密度和pld器件的灵活性和易用性,目前已成为一类主要的可编程器件。可编程器件的最大特点是可通过软件编程对其器件的结构和工作方式进行重构,能随时进行设计调整而满足产品升级。使得硬件的设计可以如软件设计一样方便快捷,从而改变了传统数字系统及用单片机构成的数字系统的设计方法、设计过程及设计概念,使电子设计的技术操作和系统构成在整体上发生了质的飞跃。采用cpld可编程器件,可利用计算机软件的方式对目标期进行设计,而以硬件的形式实现。既定的系统功能,在设计过程中,可根据需要随时改变器件的内部逻辑功能和管脚的信号方式,借助于大规模集成的cpld和高效的设计软件,用户不仅可通过直接对芯片结构的设计实现多种数字逻辑系统功能,而且由于管脚定义的灵活性,大大减轻了电路图设计和电路板设计的工作量及难度,同时,这种基于可编程芯片的数量,缩小了系统的体积,提高了系统的可靠性。eda(电子设计自动化)技术就是以计算机为工具,在eda软件平台上,对硬件语言hdl为系统逻辑描述手段完成的设计文件,自动的完成逻辑编译、逻辑化简、逻辑综合及优化、逻辑仿真,直至对特定目标芯片的适配编译、逻辑映射和编程下载等工作(文本选用的开发工具为altera公司的max+plusii)。eda的仿真测试技术只需要通过计算机就能对所设计的电子系统从各种不同层次的系统性能特点完成一系列准确的测试与仿真操作,大大提高了大规模系统电子设计的自动化程度。设计者的工作仅限于利用软件方式,即利用硬件描述语言(如vhdl)来完成对系统硬件功能的描述。 vhdl(very high speed integrated circuit hardware detion language 超高速集成电路硬件描述语言)诞生于1982年,是由美国国防部开发的一种快速设计电路的工具,目前已经成为ieee(the institute of electrical and electronics engineers)的一种工业标准硬件描述语言。相比传统的电路系统的设计方法,vhdl具有多层次描述系统硬件功能的能力,支持自顶向下(top to down)和基于库(library based)的设计的特点,因此设计者可以不必了解硬件结构。从系统设计入手,在顶层进行系统方框图的划分和结构设计,在方框图一级用vhdl对电路的行为进行描述,并进行仿真和纠错,然后在系统一级进行验证,最后再用逻辑综合优化工具生成具体的门逻辑电路的网表,下载到具体的cpld器件中去,从而实现可编程的专用集成电路(asic)的设计。第一章 设计方案的选择1.1 频率测量模块根据频率计的设计要求,电路系统可划分为几个基本模块,如图1.1所示: 波形整形电路 前置放大电路 频率, 周期测量电路 标准频率信号发生电路占空比测量 电路脉冲宽度测量电路脉冲信号处理电路 稳压电源 显示电路 控制与数据 处理电路图1.1 频率计组成模块框图1.1.1 直接测量法大家都知道,如果根据基本原理实现对频率的数字化测量,是一种直接测量的手段,这种方法比较简单,如果能满足设计要求的话,应该作为首要的选择方案。下面我们简单分析一下使用该方法是否能满足设计要求,把被测频率信号经脉冲整形电路处理后加到闸门的一个输入端,只有在闸门开通时间t(以秒计)内, 被计数的脉冲送到十进制计数器进行计数。设计数器的值为n,则可以得到被测信号频率为f = n / t,经过对照数字化直接测量频率的原理我们可以发现,本测量在低频率段的相对测量误差较大,即在低频率段不能满足本设计的要求。1.1.2 组合测频法是指在高频时采用直接测量法,低频时采用直接测量周期法测信号的周期,然后换算成频率。这种方法可以在一定程度上弥补方法(1)的不足,但是难以确定最佳测点,而且电路实现比较复杂。1.1.3 倍频法 是指把频率测量范围分成多个频率段,使用倍频技术,根据频率段设置倍频系数,将经过整形的低频信号进行倍频后再进行测量,对高频率段则直接进行测量, 倍频法比较难以实现。1.1.4 等精度测频法 通过对传统的测量方法的分析与研究,结合高精度误差测量原理,我们设计了一种测量精度与被测频率无关的硬件测频电路。本方法立足于快速的宽位数高精度浮点数字运算。其实现方式可以用图1.2来说明。图中,预置门控制信号是宽度为tpr的一个脉冲,cnt1和cnt2是两个可控计数器。标准频率信号从cnt1的时钟输入端clk输入,其频率为fs,经过整形后的被测信号从cnt2的时钟输入端clk输入,设其实际频率为fx,当预置门信号为高电平时,经过整形后的被测信号的上升沿通过d触发器的q端同时启动计数器cnt1和cnt2。cnt1和cnt2分别对被测信号和标准频率信号同时计数。当预置门信号为低电平时,随后而至的被测信号的上升沿将使两个计数器同时关闭。d qclkenclk cnt1 out1clrclkenclk cnt2 out2clr预置门控信号标准频率信号 被测信号清零信号图1.2 等精度测频法原理框图设在一次预置门时间tpr内对被测信号的计数为nx,对标准的计数值为nx,则下式成立: fx / nx = fs / ns -(式1.1) 由此可推得 fx = fs nx / ns -(式1.2) 相对误差公式 = ( 2 / ns + fs / fs ) -(式1.3) 从误差分析中可以看出来, 它的测量精度与ns和标准频率精确度有关, 而与被测频率无关. 显然, ns决定于预置门时间和标准频率信号的频率, 其关系式如下: ns = tpr fs -(式1.4) 如果采用频率为50mhz的晶体震荡器, 则有: | 1 / ns -(式1.5)如果预置门时间tpr = 0.1s, 则: ns = 0.1 60000000 = 6000000, | 1.5 10-6 以上四种方法中, 倍频法虽然在理论上可以达到很高的精度, 但是在低频段, 就目前常规的锁相器件而言, 锁相电路工作性能不理想, 频率小于100hz时甚至不能工作. 前三种方法本质上都是立足于频率基本定义, 没有摆脱传统的测量方法的局限, 从下文的详细论述中可以看出, 用方法(4)可以用单片机程序方便地完成宽位浮点数的数学运算, 实现高精度测量.基于上述论证以及第二部分中详细的理论分析, 我们准备选择方法(4). 1.2 周期测量模块1.2.1 直接周期测量法 用被测信号经过放大整形后形成的方波信号直接控制计数门控电路, 使主门开放时间等于信号周期tx, 时标为ts的脉冲在主门开放时间进入计数器. 设在tx期间计数值为n, 可以根据以下公式来算得被测信号周期: tx = nts -(式1.6)经过误差分析, 可以得出结论: 用该测量法测量的时候, 被测信号的频率越高, 测量误差越大.1.2.2 等精度周期测量法 该方法在测量电路和测量精度上与等精度频率测量完全相同, 只是在进行计算时所用的公式不同, 用周期1/t代换频率f就可以了, 它的计算公式是: tx = tsns / nx -(式1.7) 从降低电路的复杂度以及提高精度(特别是高频)上考虑, 本设计将要采用方法(2)测量被测信号的周期.1.3 脉冲宽度测量模块 在进行脉冲宽度的测量时, 首先经过信号处理电路进行处理, 限制只有信号的50%幅度以及其以上部分才能输入数字测量部分. 脉冲边沿被处理得非常陡峭, 然后送入测量计数器进行测量. 测量电路在检测到脉冲信号的上升沿的时候打开计数器, 并且在检测到下降沿的时候关闭计数器, 设脉冲宽度为twx, 计算公式为: twx = nx / fs -(式1.8)1.4 占空比测量模块 测量一次脉冲信号的脉冲宽度, 记录下它的值为twx1, 然后将信号反相, 再测量一次脉冲宽度并且记录下它的值为twx2, 通过下面的公式计算占空比: 占空比 = twx1100% / (twx1 + twx2) -(式1.9)1.5 标准频率发生电路 本模块采用高频率稳定度和高精度的可微调晶体振荡器作为标准频率发生器.1.6 小信号处理部分 小信号处理部分受限于宽带放大器的性能, 放大器电路需要附有高速整形电路. 有以下几种方案:1.6.1 采用分立元件 使用场效应管做输入极, 以提高输入阻抗. 用截止频率1 000mhz的三极管9018做放大极. 由于电路复杂, 需要调节的部分较多, 而且一致性差, 所以不予采用.1.6.2 采用运算放大器 电路简洁, 但是因为与ttl电平接口而另外需要电平移位电路. 并且需要使用运算放大器做一高速宽带放大器, 市场上难以买到高速运算放大器, 其应用因此受到限制.1.6.3 直接采用比较器 采用比较器可以简单的完成设计. 采用高速比较器lm361可以处理高达10mhz的输入信号. lm361有低输入失调电压和电压范围灵活等特点, 响应时间最大仅20ns, 输出电平可与ttl电平相匹配. 综合考虑, 本部分电路采用方案(3). 比较器输入容易受到干扰, 因此电路上采用净化电源并且需要合理安排地线. 经过最后的实际测量, 输入灵敏度4mv左右, 完全满足小信号测量的需要.第二章 基本测量原理与理论误差分析2.1 等精度频率/周期测量技术2.1.1量化误差 若所测频率值为fx, 被测频率的真实值为fxe, 标准频率为fs, 在一次测量中, 预置门时间为tpr, 被测信号技数值为nx, 标准频率信号计数值为nx. 由于fx计数的起停时间都是由该信号的上升沿触发的, 因此在tpr时间内对fx的计数nx无误差, 在此时间内的计数ns最多相差一个脉冲, 即et 1, 则下式成立: fx / nx = fs / ns -(式2.1) fxe / nx = fs / ( ns + et ) -(式2.2)可以分别推得 fx = fs nx / ns -(式2.3) fxe = fs nx / ( ns + et ) -(式2.4)根据相对误差公式有 | = fxe / fxe = ( fxe - fx ) / fxe -(式2.5)经过整理可以得到 fxe / fxe = et / ns -(式2.6)因为et 1, 故et / ns 1 / ns, 即 et / ns 1 / ns ns = tpr fs -(式2.7) 根据以上分析, 可以知道等精度测频法具有三个特点: 相对测量误差与被测频率的高低无关; 增大tpr或fs可以增大ns, 减少测量误差, 提高测量精度; 测量精度与预置门宽度和标准频率有关, 与被测信号的频率无关, 在预置门和常规测频闸门时间相同而被测信号频率不同的情况下, 等精度测量法的测量精度不变.2.1.2 标准频率误差 标准频率误差为fs / fs, 因为晶体的稳定度很高, 标准频率误差可以进行校准, 相对于量化误差, 校准后的标准频率误差可以忽略。2.2 预置门时间信号与闸门时间信号 预置门的概念与传统的闸门的概念是不同的. 预置门是指同时启动或同时停止标准频率信号计数器和被测信号计数器的门控信号. 预置门的概念用于高精度恒定误差测频/周期方法中, 并且称预置门的时间宽度为预置门时间. 高精度恒定误差测频方法测量精度与预置门时间和标准频率有关, 与被测信号的频率无关. 在预置门时间和闸门时间相同而被测信号频率不同的情况下, 高精度恒定误差频率测量法的测量精度不变, 而直接测频法的精度随着被测信号的增加而接近线性的增大.2.3 高精度恒误差周期测量方法 分析思路和结果均对高精度恒误差频率测量相似或相同.2.4 脉冲宽度测量理论误差分析 根据方案中的脉冲宽度测量方法, 分析脉冲宽度测量误差. 设被测信号的脉冲宽度为twxe, 标准频率信号频率为fs, 则脉冲宽度的测量值为: twx = nx / fs -(式2.8) 在一次测量中, 对标准频率信号的计数值nx可能产生1个标准频率信号周期的计数误差, 则脉冲宽度测量相对误差为: |twx / twx| = ( 1 fs ) / ( nx fs ) = 1 / nx -(式2.9)其中nx = twx fs. 可以看出, 在fs一定的时候, 脉冲宽度越小, 误差越大. 当twx = 100s, fs = 60mhz时, nx = 6 000, 则有: |twx / twx| = 1 / 6 000 = 0.017%2.5 周期脉冲信号占空比测量误差分析 使用第一部分中所述的占空比方法, 根据误差合成原理, 周期测量相对误差最大值等于脉冲宽度测量相对误差. 在标准频率为60mhz, 被测频率1khz(即周期为0.001s)的时候, 设其占空比为10%, 则由脉冲宽度测量相对误差公式计算出的相对误差应该小于0.017%第三章 方案的实现3.1 稳压电源设计本项设计要求的电源有: +12v, -12v, +5v的稳压电源. 在进行电源设计时, 功率交流输入端加一级电源滤波器, 以降低工频频率干扰.3.2 测量控制电路本电路采用单片机和cpld/fpga的结合来实现. 单片机(at89c51)完成整个测量电路的控制, 数据处理和显示输出, cpld/fpga完成计数器的功能. 键盘信号由at89c51单片机进行处理. at89c51从cpld/fpga读回计数数据并进行高精度浮点运算, 并且向显示电路输出测量结果. 电路系统原理框图如图3.1所示:频周脉占 p0p3.0p3.1 p2 rsetp1.0p1.3 p1.0p1.3 tclk cpld/fpga bclk 显示驱动74ls1648数码显示led8信号放大与整形 电路 晶振复 电源图3.1 等精度数字频率计电路系统原理框图3.3 输入信号处理部分 为测量小信号,需要在输入端加前置信号处理电路,将小信号放大后送入整形电路。3.4 小信号处理部分 电路如图3.2:图3.2 小信号处理部分电路在调试中发现lm361的输出在零瞬间有毛刺, 在输出与地间并联一个100pf的瓷片电容后消除了毛刺, 而且处理后的波形仍然比较陡峭, 本部分电路的抗干扰能力也很强.3.5 标准频率方波发生电路本设计采用60mhz的晶体震荡器产生标准频率方波信号(频标)供数字测量电路使用。晶体震荡器采用恒温晶体震荡器,稳定度为: 2.010-7 / 24小时。晶体震荡器主要由晶体震荡电路和缓冲放大电路组成。如下图所示:图3.3 60mhz的晶体震荡电路工作原理:如图3.3所示。晶体三极管vt1为60mhz的晶体震荡器,震荡器的输出送至晶体三级管vt2的缓冲放大器进行信号放大,它的反射级有较大的反馈, 使得震荡器更加稳定。电阻r5,r6为偏置,r7为负载电阻,信号通过电容c6耦合输出60mhz的高频信号。其中,vt1集电极回路由电感l1以及电容c4,c5组成并联谐振回路,调节空气可变电容c4,使得回路谐振在震荡频率60mhz上,电感l3和电容c7组成去耦电路,其作用在于滤除电源中的高次谐波,以保证频率波形的纯度。3.6 显示器电路 lcd(liquid crystal diodes)是液晶显示器的简称。led显示块是由发光二极管显示字段的显示器件。在本设计的系统中采用的是七段led。这种显示块有阴极与共阳极两种,如图3.4所示。 (a)共阴极 (b)共阳极 (c)管脚配置图3.4 七段led显示块七段显示块与微机接口如表3.1所示。 表3.1:七段显示块与微机接口在微机应用系统中使用led显示块构成n位led显示器。图3.5是n位显示器的构成原理。图3.5 n位led显示器因为本设计用高精度恒误差的频率和周期测试方法, 预置门时间为1.5s, 在标准频率信号为50mhz的情况下, 根据上文讨论的高精度恒误差的频率和周期测试方法相对误差计算公式可以算出测量精度为: 1 / ( 1.550106 ) = 1.310-8即能够显示接近8位有效数字, 所以电路采用了8位led显示器. 如图3.6所示。图3.6 八位led动态显示器电路本系统的led的驱动电流由7片串级的串入并出的移位寄存器74ls164提供,基本可以保证led的亮度,由工作于同步位移寄存器0模式的串行口输出显示数据,用一片74ls164作为显示单位以及工作状态的指示,数据与7位显示串联,即第8位显示数据。图3.7 采用74ls164的动态驱动3.7 实际数字测量部分本计要求测量功能模块较多, 设计要求的被测信号以及标准频率信号为1mhz, 高频信号之间的信号干扰非常的强. 在方案实现过程中要求处处考虑到干扰问题, 减少布线的复杂程度.3.7.1 频率测量与周期测量电路 因为本设计采用高精度恒误差频率测量法与高精度恒误差周期测量法, 这两种方法使用的电路完全相同, 所以在方案实现中, 频率测量与周期测量使用同一电路, 只是单片机运算不同. 频率测量与周期测量电路由显示在图3.3中的控制信号以及以下三个子模块构成: contrl- 本模块的控制部分, 主要接收单片机的指令, 控制计数器cont1和cont2. cont1- 对由被测信号整形后形成的脉冲进行计数. cont2- 对标准频率信号进行计数. 根据高精度恒误差频率和周期测量原理, 本模块电路按以下方式进行工作: 经过整形后的被测信号脉冲从contrl的fin端输入, 标准频率信号从contrl的fsd端输入, contrl的输入端是本模块电路的工作初始化信号输入端. 在进行频率或周期测量时, 进行以下几步: 在contrl的clr端加一正脉冲信号以完成测试电路状态的初始化; 由预置门控信号将contrl的start端置高电平, 预置门开始定时. 同时由被测信号的上升沿打开计数器cont1, 并使标准频率信号被关断. 预置门定时结束信号把contrl的start端置为低电平. 这使在被测信号的下一个脉冲的上升沿到来时, cont1停止计数, 同时输入cont2的标准频率信号被关断. 控制部分contrl的eend端输出低电平来指示测量计数结束. 单片机读回cont1和cont2的计数值, 根据高精度恒误差测量公式进行浮点运算, 计算出被测信号的频率或周期值.3.7.2 控制部分设计 图3.8 测频模块原理逻辑图 控制部分原理图如图3.9所示。当d触发器的输入端start为高电平时, 在fin端来一个上升沿时, q端为高电平, 导通fin-clk1和fsd-clk2, 同时eend被置为高电平; 在d触发器的输入端start为低电平时, 当fin端输入一个脉冲上升沿, fin-clk1和fsd-clk2的信号通道被切断. 图3.8中的计数器cont1是用8个四位并行二进制计数器按行波计数器原理所构成的32位二进制计数器, 输出8位数据总线, 分4次将32位数据全部读出. cont1的设计是用vhdl语言实现的.我们在eda设计中严格采用模块设计法, 总体设计采用原理图输入方式, 每个子模块都采用vhdl语言描述.3.7.3 脉冲宽度测量和占空比测量电路图3.9 测频率与测周期部分控制电路 本模块的电路设计根据第二部分所述的脉冲宽度测量原理, 设计如图3.5的电路原理图. 输入到本模块的被测量信号是经过信号处理电路处理过后的被测信号, 该信号的上升沿和下降沿信号对应于未经处理时的被测信号的50%幅度时上升沿和下降沿信号. 信号从fin端输入, clr为本模块电路的工作初始化信号输入端. start为本电路的工作使能端, pul端输出如图3.8中所示gate的输入端pul.测量脉冲宽度采用以下几步: 向contrl2的clr端输送一个脉冲以进行电路的工作状态初始化. 将图3.8中gate的cnt端置为高电平, 表示进入脉冲宽度测量, 这时cont2的输入信号为pul&fsd. 在经过处理后的被测信号的上升沿到来之时, contrl2的pul端输出高电平, 标准频率信号进入计数器cont2. 在经过处理后的被测信号的下降沿到来之时, contrl2的pul端输出低电平, 计数器cont2被关断. 单片机读计数器cont2的结果, 通过所述的测量原理公式(2.8)计算得出脉冲宽度. 占空比的测量方法是通过测量脉冲宽度记录cont2的计数值twx1, 然后将输入信号反相, 再测量其脉冲宽度, 测得cont2计数值twx2, 则可以计算出 占空比 = twx1100% / (twx1+twx2)图3.10 脉冲测量模块逻辑原理图第四章 单片机控制与运算程序的设计4.1 单片机控制与运算的主流程图调测脉宽子程序置标志位 有键按下吗?清标志位调测周期子程序置标志位 有键按下吗?调测频子程序是测占空比键吗? 是测脉宽键吗? 是测周期键吗? 开始 清内存sp 60hp2 0 是测频键吗? 有键按下吗?调显示子程序choice 1 11 清显示缓冲区, 送初始显示内容 清标志位 有键按下吗?置标志位测占空比子程序清标志位有键按下吗?图4.1 单片机控制与运算主流程图第五章 结束语在简易数字频率计的设计当中,基本完成了设计任务书中的基本要求。在调试的实验中,简易数字频率计能够准确的测试频率和周期,而且测量精度基本上满足指标的要求。本文的工作基础是基于cpld的数字频率计,利用vhdl语言进行单片机应用系统的数字频率计的设计,并下载到cpld中组成实际电路,这样可以简化硬件的开发和制造过程,而且使硬件体积大大缩小,并提高了系统的可靠性。使用单片机完成整个测量电路的控制,数据处理和显示输出。采用两个同步测周期计数器进行计数,并在fpga中实现了系统集成,使设计更加灵活,可以在许多频率测量的设计中取代门控计数器。文中设计的数字频率计,无需选择量程便可实现宽频段高精度的频率测量,同时在基本电路模块基础上,不必修改硬件电路,通过修改vhdl源程序,增加一些新功能,满足不同用户的需要,实现数字系统硬件的软件化。在设计当中,由于硬件电路中和测试设备的固有的特性,加大了调试的难度,造成了一些性能指标,没有准确的达到任务书的要求,针对在设计中出现的问题,在今后的的工作中要避免,首先,要充分利用软件电路和集成电路,使单片机技术再此电路扮演重要的角色;其次,要尽量解决电路的干扰问题,掌握一些常用的抗干扰技术;再次,在以后的工作中要熟练使用电子测量设备,以提高测量效率。参考文献1卢毅,赖杰.vhdl与数字电路设计m.北京:科学出版社,2001.2潘松.vhdl实用教程m.成都:电子科技大学出版社,2000.3徐志军.大规模可编程逻辑器件及其应用m.成都:电子科技大学出版社,2000.4赵曙光.可编程逻辑器件原理、开发与应用m.西安:西安电子科技大学出社,2000.5薛萍,陈海燕,裴树军.基于isp芯片的可编程数字频率计的设计j.电测与仪表,2002,(2):2123.6顾巨峰,周浩洋,朱建华.基于可编程逻辑器件(lattice)的多功能数字频率计7 victor p. nelson,h. troy nagle,bill d. carroll,j. david irwin. digital logic circuit analysis & design. prentice hall / pearson. 1999-5-1致 谢在即将走出大学校园步入社会的最后几个月,利用我人生当中大学三年的时间在各位老师谆谆的指导下学到专业知识,我认真地做了毕业设计论文-简易数字频率计。在简易数字频率计的设计当中,得到了电子通信工程系领导的关心和支持,特别是应电教研室的董蕴华老师,在毕业设计但中进行了耐心的指导,并提出了合理化的建议,使毕业设计更加完善,衷心感谢我的指导教师董蕴华,在她精心指导和耐心帮助下,本课题的研究工作才能顺利的进行,此外,她的言传身教将使我终生受益。感谢学校在毕业设计期间为我提供做好毕业设计的条件以及机房全体老师的辛劳工作和支持!感谢电子学院老师和同窗们的关心和支持!感谢所有帮助过我的人们!附 录-cnt10.vhdlibrary ieee;use ieee.std_logic_1164.all; entity cnt10 is port (clk:in std_logic; clr:in std_logic; ena:in std_logic; cq:out integer range 0 to 15; carry_out:out std_logic); end entity cnt10;architecture art of cnt10 is signal cqi :integer range 0 to 15;beginprocess(clk,clr,ena) isbeginif clr=1 then cqi=0; elsif clkevent and clk=1 then if ena=1 then if cqi9 then cqi=cqi+1; else cqi=0; end if; end if;end if;end process;process (cqi) isbeginif cqi=9 then carry_out=1; else carry_out=0;end if;end process; cq=cqi;end architecture art;-reg32b.vhdlibrary ieee;

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论