数字电路实验与课程设计实验指导书.doc_第1页
数字电路实验与课程设计实验指导书.doc_第2页
数字电路实验与课程设计实验指导书.doc_第3页
数字电路实验与课程设计实验指导书.doc_第4页
数字电路实验与课程设计实验指导书.doc_第5页
免费预览已结束,剩余47页可下载查看

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

数字电路实验与课程设计实验指导书2011.1目 录数字电路实验与课程设计实验教学大纲2电子电路的设计基本步骤4电子电路设计性实验报告撰写5数字电路实验箱使用手册6实验一 组合逻辑电路的设计与测试7实验二 触发时序电路设计与调试8实验三 脉冲波形产生电路设计与调试9实验四 da、ad转换器15实验五 3 12 位直流数字电压表的组装与调试21实验六 数字频率计26附录a:29一集成逻辑电路的连接和驱动29二使用门电路产生脉冲信号 自激多谐振荡器31三上拉电阻的作用34附录b:35一74. 40. 45系列功能简介35二集成电路的检测常识47三集成电路的检测方法49四怎样拆卸集成电路块50数字电路实验与课程设计实验教学大纲课程名称:数字电路实验与课程设计 课程编号: 05020290英文名称:digital circuit experiment and course design 课程性质:必修 课程学时: 32 实验学时:32实验课开课学期:5面向专业:电子信息科学与技术一、 实验目的和任务 数字电路实验属于数字电路课程理论联系实际的实验课程。目的是使学生通过该实验课程的学习进一步加深对理论课程的理解,掌握数字电路设计的基本技能、熟练掌握数字信号的测量方法;通过该实验课程进一步培养学生独立分析问题和解决问题的能力。努力培养学生的创新精神和创新能力。二、 实验教学的基本要求本实验课程主要以常规的ttl、cmos小规模数字电路器件为基础,通过本实验课程的学习使学生能够:1熟练掌握组合逻辑的测量方法与设计方法,了解组合逻辑电路的典型应用。2熟练掌握基本的时序逻辑的测量方法和设计,了解时序逻辑电路的典型应用。3基本掌握数字电路的综合应用。三、 实验项目基本情况实验教学环节,12学时,学生可以根据所学内容,自拟实验项目,完成方案设计,实际电路搭建、调试,对有能力的同学,提供上机仿真及实际焊接电路的条件;课程设计环节, 20学时,学生可以根据所学内容,自拟实验项目,完成方案设计、开题报告,调试,设计总结答辩。有兴趣的同学可以绘制原理图及印刷电路板图,并进行电路仿真,实际电路焊接,调试完成设计任务,提交总结报告。1、必做实验序号实验项目名称内容提要实验学时实验类型实验地点1组合逻辑电路设计与调试编码、译码等逻辑电路设计与调试 3设计电学中心2触发时序电路设计与调试触发器、计数器、移位寄存器应用电路与调试 5设计电学中心3脉冲波形产生电路设计与调试振荡器、单稳电路,555时基电路及其应用设计与调试4设计电学中心2、 选做实验序号实验项目名称内容提要实验学时实验类型实验地点1数字万用表设计与实现用于测量电压、电流、电阻、功率等20综合电学中心2自拟实验题目利用所学数字电路知识,实现设计功能并调试成功20综合电学中心四、考核方式考核采用,平时实验表现占总成绩的80,实验报告占成绩的20。1. 平时实验主要考察学生对实验电路的设计难易程度、电路连接调试、问题解决的能力,是否能够达到设计要求。2. 开题报告情况,设计总结答辩情况。3. 实验报告主要考察学生对实验涉及的理论知识的掌握,对实验得到的结论和现象是否能够正确理解和分析,并能够合理的解释实验中出现的问题,正确判断实验的成功、失败。五、实验教材或实验指导书 教材名称 作者 出版社 出版日期 数字电路实验与课程设计指导书 孟宇 2005 电子电路的设计基本步骤1、 明确设计任务要求: 充分了解设计任务的具体要求如性能指标、内容及要求,明确设计任务。 2、 方案选择: 根据掌握的知识和资料,针对设计提出的任务、要求和条件,设计合理、可靠、经济、可行的设计框架,对其优缺点进行分析,做到心中有数。 3、 根据设计框架进行电路单元设计、参数计算和器件选择: 具体设计时可以模仿成熟的电路进行改进和创新,注意信号之间的关系和限制;接着根据电路工作原理和分析方法,进行参数的估计与计算;器件选择时,元器件的工作、电压、频率和功耗等参数应满足电路指标要求,元器件的极限参数必须留有足够的裕量,一般应大于额定值的1.5倍,电阻和电容的参数应选择计算值附近的标称值。 4、 电路原理图的绘制: 电路原理图是组装、焊接、调试和检修的依据,绘制电路图时布局必须合理、排列均匀、清晰、便于看图、有利于读图;信号的流向一般从输入端或信号源画起,由左至右或由上至下按信号的流向依次画出各单元电路,反馈通路的信号流向则与此相反;图形符号和标准,并加适当的标注;连线应为直线,并且交叉和折弯应最少,互相连通的交叉处用圆点表示,地线用接地符号表示。 电子电路设计性实验报告撰写设计性实验报告主要包括以下几点: 1 课题名称 2 内容摘要 3 设计内容及要求 4 比较和选择的设计方案 5 单元电路设计、参数计算和器件选择 6 画出完整的电路图。并说明电路的工作原理 7 组装调试的内容,如使用的主要仪器和仪表、调试电路的方法和技巧、测试的数据和波形并与计算结果进行比较分析、调试中出现的故障、原因及排除方法 8 总结设计电路的特点和方案的优缺点,指出课题的核心及实用价值,提出改进意见和展望 9 列出元器件清单 10 列出参考文献 11 收获、体会 实际撰写时可根据具有情况作适当调整。数字电路实验箱使用手册1. 信号源:面板上有五个频率输出点,分别为1mhz、100khz、10khz、1khz、1hz可用作信号源。 2. 指示灯:l0l11十二个指示灯可作为输出指示,当输出为高电平时红灯亮,当输出为低电平时绿灯亮。3. 数码管:板上共有数码管六个,其对应的输入为8421码的数据线,分别为dx、cx、bx、ax下标分别对应六个数码管,数码管为共阴极,对应的公共端为ledx,将ledx接地对应的数码管点亮,用dx、cx、bx、ax进行编码,得到从“09”的显示4. 单脉冲:板上有单脉冲输出端分别为p+、p-,当按下相应按键时p+由低变高,p-由高变低。5. 电源:除+5v电源外,在箱子的正上方有两个可调电源输出端口。分别在+5+15及-5-15范围内可调。6. 开关:在箱子的右下方有k0k11十二个拨动开关。拨下输出低电平,拨上输出高电平。实验一 组合逻辑电路的设计与测试l 目的:掌握单元电路、组合逻辑电路的设计、及其测试方法l 使用中、小规模集成电路来设计组合电路是最常见的逻辑电路。设计组合电路的一般步骤是 (1)根据设计任务的要求,列出真值表。 (2)用卡诺图或代数化简法求出最简的逻辑表达式。 (3)根据逻辑表达式,画出逻辑图,用标准器件构成电路。(4)最后,用实验来验证设计的正确性。l 必须使用器件:全加器、译码器、编码器、数码显示器、选择器、门电路等l 设计内容参考: 1设计一个四人无弃权表决电路(多数赞成则提案通过)本设计要求采用四2输入与非门实现。 要求按本文所述的设计步骤进行,直到测试电路逻辑功能符合设计要求为止。 2. 设计一个保险箱的数字代码锁,该锁有规定的地代码a、b、c、d的输入端和一个开箱钥匙孔信号e的输入端,锁的代码由实验者自编(例如1001)。当用钥匙开箱时,如果输入代码符合该锁设定的代码,保险箱被打开,如果不符,电路将发出报警信号。要求使用最少的与非门来实现,检测并记录实验结果。 提示:实验时锁被打开,用实验箱上的继电器吸合与led发光二极管点亮表示;在未按规定按下开关键时,防盗蜂鸣器响。3设计一个对两个两位无符号的二进制数进行比较的电路;根据第一个数是否大于、等于、小于第二个数,使相应的三个输出端中的一个输出为“1”。 4. 用多片74ls138组合成一个四线一十六线译码器,自拟实验线路,进行实验和记录。5二一十进制译码器,选取二一十进制译码器cd4028,将自定义的二进制数译成十进制数,自拟实验线路,进行实验和记录。l 1-3任选其一必做,2-4任选其一必做,自拟题目选作。l 预习要求根据实验任务要求先设计单元电路,然后再组合电路,并根据所给的标准器件画出逻辑图。l 报告要求 参考设计报告撰写规范,根据具有情况作适当调整。 实验二 触发时序电路设计与调试l 目的:掌握基本rs、jk、d和t触发器的逻辑功能,掌握集成触发器的使用方法和逻辑功能的测试方法。熟悉触发器之间相互转换的方法。掌握中规模集成计数器的使用方法及功能测试方法,熟悉移位寄存器的应用一构成串行累加器和环形计数器l 必须使用器件:触发器、计数器、分频器、移位寄存器、数码显示器、门电路等l 设计内容参考: 1. 掌握中规模4位移位寄存器逻辑功能及使用方法, 2. 熟悉集成时序脉冲分配器的使用方法及其应用,3. 三位数累加运算, 4运用集成计数器构成1n分频器。 l 1-2任选其一必做; 3-4任选其一必做。或自拟题目。l 预习要求根据实验任务要求先设计单元电路,然后再组合电路,并根据所给的标准器件画出逻辑图。l 报告要求 参考设计报告撰写规范,根据具有情况作适当调整。实验三 脉冲波形产生电路设计与调试l 实验目的 1熟悉555型集成时基电路的电路结构、工作原理及其特点, 2. 掌握555型集成时基电路的基本应用l 实验原理 集成时基电路称为集成定时器,是一种数字、模拟混合型的中规模集成电路,其应用十分广泛。它是一种产生时间延迟和多种脉冲信号的电路,由于内部电压标准使用了三个5k电阻,故取名555电路。其电路类型有双极型和cmos型两大类,二者的结构与工作原理类似。几乎所有的双极型产品型号最后的三位数码都是555或556;所有的cmos产品型号最后四位数码都是7555或7556,二者的逻辑功能和引脚排列完全相同,易于互换。555和7555是单定时器。556和7556是双定时器。双极型的电源电压vcc+5- +15,输出的最大电流可达200ma,cm03型的电源电压为+3- +18v。 1555电路的工作原理 555电路的内部电路方框图如图151所示。它含有两个电压比较器,一个基本rs触发器,一个放电开关管t,比较器的参考电压由三只5k的电阻器构成分压器提供。它们分别使高电平比较器a1的同相输入端和低电平比较器a2的反相输入端的参考电平为2/3vce和1/3vcc。a1与a2的输出端控制rs触发器状态和放电管开关状态。当输入信号自6脚,即高电平触发输入并超过参考电平2/3vcc时,触发器复位,555的输出端3脚输出低电平,同时放电开关管导通;当输入信号自2脚输入并低于1/3vcc时,触发器置位,555的3脚输出高电平,同时放电开关管截止。 rd复位端,当rd0,555输出低电平。平时rd端开路或接vcc。vc是控制电压端(5脚),平时输出2/3vcc作为比较器a1的参考电平,当5脚外接一个输入电压,即改变了比较器的参考电平,从而实现对输出的另一种控制,在不接外加电压时,通常接一0.01f的电容器到地,起滤波作用,以消除外来的干扰,以确保参考电平的稳定。t为放电管,当t导通时,将给接于7脚的电容器提供低阻放电通路。555定时器主要是与电阻、电容构成充放电电路,并由两个比较器来检测电容器上的电压,以确定输出电平的高低和放电开关的通断。这就很方便地构成从微秒到数十分针的延时电路,可方便地构成单稳态触发器,多谐振荡器,施密特触发器等脉冲产生或波形变换电路。图151 555定时器内部框图及引脚排列2. 555定时器的典型应用(1) 构成单稳态触发器图152(a)为由555定时器和外接定时元件r、c构成的单稳态触发器。触发电路由c1、rl、d构成,其中d为嵌位二极管,稳态时555电路输入端处于电源电平,内部放电开关管t导通,输出端输出低电平,当有一个外部负脉冲触发信号经cl加到2端。并使2端电位瞬时低于1/3vcc,低电平比较器动作,单稳态电路即开始一个暂态过程,电容c开始充电,vc按指数规律增长。当vc充电到2/3vcc时,高电平比较器动作,比较器a1翻转,输出vo从高电平返回低电平,放电开关管t重新导通,电容c上的电荷很快经放电开关管放电,暂态结束,恢复稳态,为下个触发脉冲的来到作好准备。波形图如图152(b)所示。 暂稳态的持续时间tw(即为延时时间)决定于外接元件r、c的大小。 tw1.1rc 通过改变r、c的大小,可使延时时间在几个微秒到几十分钟之间变化。当这种单稳态电路作为计时器时,可直接驱动小型继电器,并可以使用复位端(4脚)接地的方法来中止暂态,重新计时。此外尚须用一个续流二极管与继电器线圈并接,以防继电器线圈反电势损坏内部功率管。图152 单稳态触发器 (2)构成多谐振荡器如图153(a)所示由555定时器和外接元件r1、r2、c构成多谐振荡器,脚2与脚6直接相连。电路没有稳态,仅存在两个暂稳态,电路亦不需要外加触发信号,利用电源通过r1、r2向c充电,以及c通过r2向放电端ct放电,使电路产生振荡。电容c在1/3vcc和2/3vcc之间充电和放电,其波形如图153(b)所示。输出信号的时间参数是ttwl+tw2,twl0.7(r1十r2)c,tw20.7r2c。555电路要求rl与r2均应大于或等于1k,但r1+r2应小于或等于3.3m。 外部元件的稳定性决定了多谐振荡器的稳定性,555定时器配以少量的元件即可获得较高精度的振荡频率和具有较强的功率输出能力。因此这种形式的多谐振荡器应用很广。图153 多谐振荡器(3)组成占空比可调的多诸振荡器 电路如图154所示,它比图153所示电路增如了一个电位器和两个导引二极管。d1、d2用来决定电容充、放电电流流经电阻的途径(充电时d1导通,d2截止;放电时d2导通,d1截止。 占空比 q=twl/(tw1+tw2)0.7rac/0.7c(ra+rb)=ra/(ra+rb)可见,若取rarb电路即可输出占空比为50的方波信号。图15-4 占空比可调的多谐振荡器(4)组成占空比连续可调并能调节振荡频率的多谐振荡器 电路如图155所示。对c1充电时,充电电流通过r1、d1、w2和w1;放电时通过w1、w2、d2、r2。当rlr2、w2调至中心点,因充放电时间基本相等,其占空比约为50,此时调节w1仅改变频率,占空比不变。如w2调至偏离中心点,再调节w1,不仅振荡频率改变,而且对占空比也有影响。wl不变,调节w2,仅改变占空比,对频率无影响。因此,当接通电源后,应首先调节w1使频率至规定值,再调节w2,以获得需要的占空比。若频率调节的范围比较大,还可以用波段开关改变c1的值。 电路如图156所示,只要将脚2、6连在一起作为信号输入端,即得到施密特触发器。图157示出了vc,vi和vo的波形图。 设被整形变换的电压为正弦波vc,其正半波通过二极管d同时加到555定时器的2脚和6脚,得vi为半波整流波形。当vi上升到2/3vcc时,vo从高电平翻转为低电平;当vi下降到1/3vcc时,vo又从低电平翻转为高电平。图155 占空比与频率均可调的多谐振荡器(5)组成施密特触发器图15-6 施密特触发器 电路的电压传输特性曲线如图158所示。 回差电压 v2/3vcc- 1/3vcc=1/3vccl 实验设备与器件 1+5v直流电源 2双踪示波器 3连续脉冲源 4单次脉冲源 5.信号源 6数字频率计 70-l指示器 8555、2ckl32 9电位器 电阻 电容若干 l 实验内容1 单稳态触发器图157 波形变换图 图158 电压传输特性 2多谐振荡器 按典型电路图接线,用双踪示波器观测波形,测定频率。 组成占空比为50的方波信号发生器。观测vc,vo波形,测定波形参数。 3施密特触发器 按图156接线,输入信号由音频信号源提供,预先调好vi的频率为lkhz,接通电源,逐渐加大vc的幅度,观测输出波形,测绘电压传输特性,算出回差电压u。 4利用555定时器设计制作一只触摸式开关定时控制器,每当用手触摸一次,电路即输出一个正脉冲宽度为10s的信号。试搭出电路并测试电路功能。 5模拟声响电路 按图159接线,组成两个多谐振荡器,调节定时元件,使i输出较低频率,为高频振荡器,连好线,接通电源,试听音响效果。调换外接阻容元件,再试听音响效果。图159 模拟声响电路l 实验预习要求1. 复习有关555定时器的工件原理及其应用。 2. 拟定实验中所需的数据、波形表格。 3如何用示波器测定施密特触发器的电压传输特性曲线? 4. 核定各次实验的步骤和方法。 l 实验报告 参考设计报告撰写规范,根据具有情况作适当调整。实验四 da、ad转换器l 实验目的 1了解ad和da转换器的基本工作原理和基本结构 2. 掌握大规模集成ad和da转换器的功能及其典型应用l 实验原理 在数字电子技术的很多应用场合往往需要把模拟量转换为数字量,称模数转换器(ad转换器,简称adc);或把数字量转换成模拟量,称为数模转换器(da转换器,简称dac)。完成这种转换的线路有多种,特别是单片大规模集成ad、da问世,为实现上述的转换提供了极大的方便。使用者可借助于手册提供的器件性能指标及典型应用电路,即可正确使用这些器件。本实验将采用大规模集成电路dac0832实现da转换,adc0809实现ad转换。 1da转换器dac0832dac0832是采用cm0s工艺制成的单片电流输出型8位数模转换器。器件的核心部分采用倒t型电阻网络的8位da转换器,如图171所示。它是由倒t型r2r电阻网络、模拟开关、运算放大器和参考电压vref四部分组成。运算的输出电压为 v。vref rf/2nr(dn-12n-1dn-22n-2d020) 由上式可见,输出电压v。与输入的数字量成正比,这就实现了从数字量到模拟量的转换。 一个8位的da转换器,它有8个输入端,每个输入端是8位二进制数的一位,有一个模拟输出端,输入可有28256个不同的二进制组态,输出为256个电压之一,即输出电压不是整个电压范围内任意值,而只能是256个可能值。图171倒t型电阻网络da转换电路图172 dac0832单片da转换器逻辑框图和引脚排列dod7:数字信号输入端 ile:输入寄存器允许,高电平有效 cs:片选信号,低电平有效 wr1:写信号1,低电平有效 xfer:传送控制信号,低电平有效 wr2:写信号2,低电平有效 iout1,iout2:dac电流输出端 rfb:反馈电阻,是集成在片内的外接运放的反馈电阻 vref:基准电压(-10一10)v vcc:电源电压(+5一+15)v agnd:模拟地、ngnb:数字地可接在一起使用dac0832输出的是电流,要转换为电压,还必须经过一个外接的运算放大器,实验线路如图173展示。2ad转换器adc0809adc0809是采用cnos工艺制成的单片8位8通道逐次渐近型模数转换器,其引脚排列如图17-4所示。 in0in7:8路模拟信号输入端 a2、a1、ao:地址输入端 ale:地址锁存允许输入信号,在此脚施加正脉冲,上升沿有效,此时锁存地址码,从而选通相应的模拟信号通道,以便进行ad转换。图173 da转换实验线路start:启动信号输入端,应在此脚施加正脉冲,当上升沿到达时,内部逐次逼近寄存器复位,在下降沿到达后,开始ad转换过程。 ecc:转换结束输出信号(转换结束标志),高电平有效。 0e:输入允许信号,高电平有效。 clock(cp):时钟信号输入端,外接时钟频率一般为640khz。 vcc:+5v单电源供电 vref(+)、vref(-):基准电压的正极、负极。一般vref(+)接+5v电原,vref(-)接地。d7do:数字信号输出端图17-4 adc0809引脚排列 8路模拟开关由a2、a1、ao三地址输入端选通8路模拟信号中的任何一路进行ad转换,地址译码与模拟输入通道的选通关系如表171所示。 表171被选模拟通道in0in1in2in3in4in5in6in7地址a200001111a100110011a001010101l 实验设备及器件 1+5v、+15v直流电源 2双踪示波器 3连续脉冲源 4逻辑电平开关 5. 01指示器 6直流数字电压表 7. dac0832 adc0809 cd4024 a741 电位器、电阻、电容若干l 实验内容 1由cd4024与r2r倒t型网络实现da变换,线路如图175锁示。cp接单次脉冲源,vo接直流数字电压表。图175 由cd4024与r2r组成的da转换电路接通电源,利用r0、co的清零,使cd4024清零。 每送一个单次脉冲,测量一次v。,记录之。 2按图173接线,dod7接至逻辑开关的输出插口,输出端v0接直流数字电压表。 (1)令dod7全置零,调节运放的电位器使a741输出为零。 (2)按表172所列的输入数字信号,用数字电压表到量运放的输出电压uo,并将测量结果填入表中。 表172输出模拟量v0(v)d7d6d5d4d3d2d1d0vcc=+5vvcc=+12v00000000000000010000001000000100000010000001000000100000010000001000000011111111图176 adc0809实验线路 3按图176接线,变换结果dod7接led指示器输入插口,cp时钟脉冲由脉冲信号源提供,f1khz。aoa2地址端“0”电平接地,“1”电平通过1k电阻接+5v电源。按表173的要求观察,记录inoin7八路模拟信号的转换结果,并将结果换算成十进制数表示的电压值,并与数字电压表实测的各路输入电压值进行比较,分析误差原因。l 实验预习要求 1. 复习ad、da转换的工作原理 2. 熟悉adc0809、dac0832各引脚功能,使用方法。 3绘好完整的实验线路和所需的实验记录表格 4拟定各个实验内容的具体实验方案表173被选模拟通道输 入模拟量地 址输出模拟量invi(v)a2a1a0d7d6d5d4d3d2d1d0十进制in04.50 0 0in14.00 0 1in23.50 1 0in33.00 1 1in42.51 0 0in52.01 0 1in61.51 1 0in71.01 1 1l 实验报告 参考设计报告撰写规范,根据具有情况作适当调整。实验五 3 12 位直流数字电压表的组装与调试(参考设计)l 实验目的1. 了解双积分式ad转换器的工作原理2熟悉3 12 位a/d转换器cd14433的性能及其引脚功能 3. 掌握用cd14433构成直流数字电压表的方法 l 实验原理 直流数字电压表的核心器件是一个间接型ad转换器,它首先将输入的模拟电压信号变换成易于准确测量的时间量,然后在这个时间宽度里用计数器计时,计数结果就是正比于输入模拟电压信号的数字量。 1. vt变换型双积分ad转换器图181是双积分a/d的控制逻辑框图。它由积分器(包括运算放大器al和rc积分网络)、过零比较器a2,n位二进制计数器,开关控制电路,门控电路,参考电压vr与时钟脉冲源cp组成。 转换前,先将计数器清零,并通过控制电路使开关s0接通,将电容c充分放电。当计数器进位输出qc0时,控制电路使开关s接通vi,积分器输出线性下降,经零值比较器a2获得一方波vc,打开门g,计数器开始计数,当输入2n个时钟脉冲后,各触发器输出端dn-ld。由111l回到000o,其进位输出q01,作为定时控制信号,通过控制电路将开关s转换至基准电压源-vr,积分器向相反方向积分,va开始线性上升,计数器重新从o开始计数,直到tt2,va下降到0,比较器输出的正方波结束,此时计数器中暂存二进制数字就是vi相对应的二进制数码。 23 12 位双积分ad转换器cdl4433的性能特点 cdl4433是cmos双积分式3 12 ad转换器,它是将构成数字和模拟电路的约7700多个mos晶体管集成在一个硅芯片上,芯片有24只引脚,采用双列直插式,其引脚排列与功能如图182所示。 引脚功能说明: vag(1脚):被测电压vx和基准电压的参考地。 vr(2脚):外接基准电压(2v或200mv)输入端。 vx(3脚):被测电压输入端 rl(4脚)、r1c1(5脚)、c1(6脚):外接积分阻容元件端 c10.1f(聚酯薄膜电容器),r1470k(2v量程); r227 k(200mv量程)。c01(7脚),c02(8脚):外接失调补偿电容端,典型值0.1f。du(9脚):实时显示控制输入端。若与oe端连接,则每次ad转换均显示。图181 双积分adc原理框图图182 cd14433引脚排列图 cpl(10脚),cp0(11脚):时钟振荡外接电阻端,典型值为470k。 vee(12脚):电路的电源最负端,接-5v。 vss(13脚):除cp外所有输入端的低电平基准(通常与1脚连接)。eoc(14脚):转换周期结束标记输出,每一次ad转换周期结束, eoc输出一个正脉冲,宽度为时钟周期的二分之一。 or(15脚):过量程标志输出,当vvr时,输出为低电平。 ds4-ds1(1619脚):多路选通脉冲输入端,dsl对应于千位, ds2对应于百位,ds3对应于十位,ds4对应于个位。 q0-q3(2023脚):bcd码数据输出端,ds2、ds3、ds4选通脉冲期间,输出三位完整的十进制数,在ds1选通脉冲期间,输出千位0或1及过量程、欠量程和被测电压极性标志信号。 cdl4433具有自动调零,自动极性转换等功能。可测量正或负的电压值。当cpl、cp0端接入470k电阻时,时钟频率66khz,每秒钟可进行4次ad转换。它的使用调试简便,能与微处理机或其它数字系统兼容,广泛用于数字面板表,数字万用表,数字温度计,数字量具及遥测、遥控系统。 33 12 位直流数字电压表的组成(实验线路) 线路结构如图183所示。 (1)谈到直流电压vx经ad转换后以动态扫描形式输出,数字量输出端q0q1q2q3上的数字信号(8421码)按照时间先后颇序输出。位选信号ds1、ds2、ds3、ds4比通过位选开关mcl413分别控制着千位、百位、十位和个位上的四只led数码管的公共阴极。数字信号经七段译码器cd4511译码后,驱动四只led数码管的各段阳极。这样就把ad转换器按时间顺序输出的数据以扫描形式在四只数码管上依次显示出来,由于选通重复频率较高,工作时从高位到低位以每位每次约300s的速率循环显示。即一似位数的显示周期是1.2ms。所以人的肉眼就能清楚地看到四位数码管同时显示三位半十进制数字量。当参考电压vr2v时,满量程显示1.999v;yr200mv时,满量程为199.9mv。可以通过选择开关来控制千位和十位数码管的h比经限流电阻实现对相应的小数点显示的控制。 (2)最高位(千位)显示时只有b、c二根线与led数码管的b、c脚相接,所以千位只显示l或不显示,用千位的g段来显示模拟量的负值(正值不显示),即由cdl4433的q2端通过pnp开关管来控制g段。 (3)ad转换都要外接标准电压源作参考电压。标准电压源的精度应当高于ad转换器的精度。本实验采用mcl403集成精密稳压源作参考电压,mcl403的输出电压为2.5v,当输入电压在4.515v范围内变化时,输出电压的变化不超过3mv,一般只有0.6mv左右,输出最大电流为100ma。 (4)实验中使用cmos bcd七段译码驱动器cd4511,参考实验八有关部分。 (5)线路中的mcl413(功能与uln2003相同)是npn型小功率达林顿晶体管列阵,适用于驱动led数码管,灵敏继电器等。l 实验设备及器件 1. 土12v直流电源 2双踪示波器 3. 直流数字电压表 4按线路图183要求自拟元、器件清单图18-3 三位半直流数字电压表线路图l 实验内容 本实验要求按图183组装调试好一台三位半直流数字电压表,实验时应一步步地进行。 1数码显示部分的组装与调试 (1)建议将4只数码管插入40p集成电路的插座上,其中负号靠自己编码产生。按图183接好连线,但暂不插所有的芯片,待用。 (2)插好芯片cd4511与mc14133,并将cd4511的输入端a、b、c、d至逻辑开关的四个输出插口上;将mcl413的1,2,3,4脚接至逻辑开关另外四个输出插口上。 (3)将mcl413的2脚置“1”,1、3、4脚置“0”,接通电源,搬动开关(按“十”或“”键)自0一9变化,检查数码管是否按开关的指示值变化。 (4)按实验原理说明3、(4)项的要求,检查译码显示是否正常。(5)分别将mcl413的3、4、1端单独置“1”,重复(3)的内容。 如果所有4位数码管显示正常,则去掉数字译码显示部分的电源,备用。 2. 标准电压源的连接和调整插上mc1413基准电源,用标准数字电压表检查输出是否为2.5v,然后调整10k电位器,使其输出电压为2.5v,调整结束后去掉电源线,供总装时备用。 3总装总调 (1)插好芯片mcl4433,并将1、2中调试好的线路按图183再检查一遍。 (2)将输入端接地,先接好地线,再接通+12v,-12v电源,此时显示器将显示“000”值,如果不是,应检测电源正负电压。用示波器测ds1-ds4,q0-q3波形,判别故障所在。 (3)用电阻、电位器构成一个简单的输入电压vx调节电路,调节电位器,4位数码将相应变化,然后进入下一步精调。 (4)用标准数字电压表(或用数字万用表代)测量输入电压,调节电位器,使vx1.000v,这时被调电路的指示值不一定显示“1.000”,应调整基准电压源,使指示值与标准电压表误差个位数在5之内。 (5)改变输入电压vi极性,使vi-1o00v,检查-”是否显示,并按以方法技准显示值。 (6)在1.999v 0 -1,脚v量程内再一次仔细调整(调基准原电压)使全部量程内的误差均不超过个位数在6之内。 至此一个测量范围在1,999的三位半数字直流电压表调试成功。 4记录输入电压为1999,1,500,1000,0,500,0.000时(标准数字电压表的读数)数字电压的显示值,列表记录之。 5用自制数字电压表测量正负电源电压。如何测量,试设计扩程测量电路。 6若积分电容c1、co2(01f)换用普通金属化纸介电容时,观察测量精度的变化。l 实验预习要求 1本实验是一个综合性实验,应作好充分准备。 2仔细分析图18-3各部分电路的连接及工作原理 3. 参考电压vr上升,显示值增大还是减少?4要使显示值保持某一时刻的读数,电路应如何改动?l 实验报告 参考设计报告撰写规范,根据具有情况作适当调整。 实验六 数字频率计 (参考设计) 一实验目的 学习数字电路中基本rs触发器、单稳态触发器、时钟发生器及计数、译码显示等单元电路的综合应用。 二工作原理 数字频率计是用于测量信号(方波、正弦波或其它脉冲信号)的频率,并用十进制数字显示,它具有精度高,测量迅速,读数方便等优点。 脉冲信号的频率就是在单位时间内所产生的脉冲个数,其表达式为fnt,其中,f为被测信号的频率,n为计数器所累计的脉冲个数。 t为产生n个脉冲所需的时间。计数器所记录的结果,就是被测信号的频率。如在1s内记录1000个脉冲,则被测信号的频率为1000hz。 本实验课题仅讨论一种简单易制的数字频率计,其原理方框图如图所示。晶振产生较高的标准频率,经分频器后可获得各种时基脉冲(1ms,10ms,0.1s,1s等),时基信号的选择由开关s2控制。被测频率的输入信号经放大整形后变成矩形脉冲加到主控门的输入端,如果被测信号为方波,放大整形可以不要,将被测信号直接加到主控门的输入端。时基信号经控制电路产生闸门信号至主控门,只有在闸门信号采样期间内(时基信号的一个周期),输入信号才通过主控门。若时基信号的周期为t,进入计数器的输入脉冲数为n,则被测信号的频率fxnt,改变时基信号的周期t,即可得到不同的测频范围。当主控门关闭时,计数器停止计数,显示器显示记录结果。此时控制电路输出一个置零信号,经延时、整形电路的延时,当达到所调节的延时时间时,延时电路输出一个复位信号,使计数器和所有的触发器量0,为后续新的一次取样作好准备,即能锁住一次显示的时间,使保留到接受新的一次取样为止。 当开关s2改变量程时,小数点能自动移位; 若开关s1,s3配合使用,可将测试状态转为“自按”工作状态(即用时基信号本身作为被测信号输入)。设计任务和要求 使用中、小规模集成电路设计与制作一台简易的数字频率计。应具有下述功能: 1位数 计4位十进制数(计数位数主要取决于被测信号频率的高低,如果被测信号频率较高,精度又较高,可相应增加显示位数)。 2. 量程 第一档:最小量程档,最大读数是9.999khz,闸门信号的采样时间为1s。 第二档:最大读数为99.99khz,闸门信号的采样时间为0.1s。 第三档: 最大读数为999.9khz,闸门信号的采样时间为l0ms。 第四档:最大读数为9999khz,闸门信号的采样时间为1ms。 3显示方式 (1)用七段led数码管显示读数,做到显示稳定、不跳变。 (2)小数点的位置跟随量程的变更而自动移位。 (3)为了便于读数,要求数据显示的时间在0.5s5s内连续可调。 4具有“自检”功能。 5被测信号为方波信号。 6画出设计的数字频率计的电路总图。 7组装和调试单元电路:1. 控制电路及主控门电路2.延迟电路附录a: 一集成逻辑电路的连接和驱动 1ttl电路输入输出电路性质 当输入端为高电平时,输入电流是反向二极管的漏电流,电流极小。其方向是从外部流入输入端。 当输入端处于低电平时,电流由电源vcc经内部电路流出输入端,电流较大,当与上一级电路衔接时,将决定上级电路应具有的负载能力。高电平输出电压在负载不大时为3.5v左右。低电平输出时,允许后级电路灌入电流,随着灌入电流的增加,输出低电平将升高,一级ls系列ttl电路允许灌入8ma电流,即可吸收后级20个ls系列标准门的灌入电流。最大允许低电平输出电压为0.4v。 2cmos电路输入输出电路性质 一般cc系列的输入阻抗可高达1010,输入电容在5pf以下,输入高电平通常要求在3.5v以上,输入低电平通常为1.5v以下。因cmos电路的输出结构具有对称性,故对高低电平具有相同的输出能力,负载能力较小,仅可驱动少量的cmos电路。当输出端负载很轻时,输出高电平将十分接近电源电压;输出低电平时将十分接近地电位。 在高速cmos电路5474hc系列中的一个子系列5474hct,其输入电平与ttl电路完全相同,因此在相互取代时,不需考虑电平的匹配问题。 3. 集成逻辑电路的衔接在实际的数字电路系统中总是将一定数量的集成逻辑电路按需要前后连接起来。这时,前级电路的输出将与后级电路的输入相连并驱动后级电路工作。这就存在着电平的配合和负载能力这两个需要妥善解决的向题。可用下列几个表达式来说明连接时所要满足的条件 voh(前级)vih(后级) vol(前级)vil(后级) voh(前级)niih(后级) vol(前级)niil(后毁) n为后级门的数目 (1)ttl与ttl的连接ttl集成逻辑电路的所有系别,由于电路结构形式相同,电平配合比较方便,不需要外接元件可直接连接,主要的限制是受低电平时负载能力的限制。表51列出了74系列ttl电路的扇出系数。 表1174ls0074als00 740074l0074s0074ls00 20 40 5 40 574als00 20 40 5 40 57400 40 80 10 40 1074l00 10 20 2 20 174s00 50 100 12 100 12(1) ttl与ttl的连接 ttl集成逻辑电路的所有系别,由于电路结构形式相同,电平配合比较方便,不需要外接元件可直接连接,主要的限制是受低电平时负载能太的限制。表51列出了74系列ttl电路的扇出系数。 (2)ttl驱动cmos电路 ttl电路驱动cmos电路时,由于cmos电路的输入阻抗高,故此驱动电流一般不会受到限制,但在电平配合问题上,低电平是可以的,高电平时有困难,因为ttl电路在满载时,输出高电平通常低于cmos电路对输入高电平的要求,因此为保证ttl输出高电平时,后级的cmos电路能可靠工作,通常要外接一个提拉电阻r,始图51

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

最新文档

评论

0/150

提交评论