毕业论文基于fpga简易乐器演奏器设计说明书_第1页
毕业论文基于fpga简易乐器演奏器设计说明书_第2页
毕业论文基于fpga简易乐器演奏器设计说明书_第3页
毕业论文基于fpga简易乐器演奏器设计说明书_第4页
毕业论文基于fpga简易乐器演奏器设计说明书_第5页
已阅读5页,还剩41页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

乐曲演奏设计实验任务:采用VHDL来实现的简易乐曲演奏器。它能实现自动演奏已存歌曲。且要求实现如下功能: (1)演奏时可以通过按键选择是自动演奏,自动演奏则是演奏已存入的固定乐曲;(2)至少保存一首自动演奏的乐曲,且自动演奏的乐曲能重复播放;(3)进行自动演奏时,数码管上要同时能显示演奏乐曲的乐谱;(4)自动播放时,设置低速,中速,快速控制键,能实现乐曲不同速度的播放。1乐曲演奏电路原理 声音的频谱范围约在几十到几千赫兹,若能利用程序来控制FPGA某个引脚输出一定频率的矩形波,接上扬声器就能发出相应频率的声音。乐曲中的每一音符对应着一个确定的频率,要想FPGA发出不同音符的音调,实际上只要控制它输出相应音符的频率即可。乐曲都是由一连串的音符组成,因此按照乐曲的乐谱依次输出这些音符所对应的频,就可以在扬声器上连续地发出各个音符的音调。而要准确地演奏出一首乐曲,仅仅让扬声器能够发生是不够的,还必须准确地控制乐曲的节奏,即乐曲中每个音符的发生频率及其持续时间是乐曲能够连续演奏的两个关键因素。当一个20MHz的时钟脉冲来到时,乐谱发生器模块输出一个音符数据给分频系数模块,分频系数模块输出此音符相应的分频系数,将分频系数送给数控分频器模块,当20MHz的时钟脉冲来到时,数控分频器就根据分频系数输出相应的频率(即此音符所对应的发生频率)给扬声器,扬声器就可发出对应音符的声音来.连续的4Hz的时钟脉冲就将乐谱发生器里所存储的音符数据一个接一个的送给了分频系数模块,再经过数控分频模块,最后扬声器一个接一个的发出音符数据所对应的声音来。曲子也就流畅的播放出来了。2 整体设计思想根据设计要求,系统组成方框图3-1所示,它由乐曲选择模块、数码显示模块、蜂鸣器模块、模式选择模块组成。图3-1乐曲演奏电路结构方框图基准时钟20MHz模式选择 选歌,控制FPGA时钟电路峰鸣器电路乐曲选择电路数码显示电路4Hz20MHz扬声器数码管 3 乐曲演奏电路模块3.1 乐曲选择模块1 乐曲选择模块在此模块中设置了一个9位二进制计数器((计数最大值为511),作为音符数据ROM的地址发生器。这个计数器的计数频率选为4 Hz,即每一计数值的停留时间为025s,恰为当全音符设为1s时,四四拍的4分音符持续时间。同时为了实现对歌曲选择得控制以及对播放速度的要求,可以多分出几个频率,然后由控制开关来选择歌曲和播放频率。随着音调发生器模块中的计数器按不同的时钟速率作加法计数时,乐曲就开始连续自然地依据不同的速度演奏起来了。2 乐曲选择模块的仿真图图3-2乐曲选择模块仿真图 通过仿真图可以清楚的看到,时钟clk由0开始计数,每计一次数输出toneindex的值随之发生一定的变化,只不过根据乐谱的不同,输出的变化也不尽相同。由于选择开关的控制可以看出,在不同模式下播放的歌曲是不同的,实现了选歌的功能。3 乐曲选择模块生成的逻辑符号图 图3-3乐曲选择模块逻辑符号图3.2数码显示模块1 数码显示模块 该编码模块的作用为将输入的音符数据翻译为音乐产生模块的所需要的分频系数,并且显示音符的数字码型和高音阶,通过对照表各音名对应的分频系数值及初始值,根据输入得到初始值,从而得到分频系数以便进行分频,得到所需的频率。2音数码显示模块的仿真图 图3-4数码显示模块仿真图在此程序中index为音乐节拍产生模块输出的音符数据,经过翻译后将tone输出到数控分频模块为其提供分频系数的初始值,code为数码管显示的字符数,high1为高音显示,当乐谱中有高音时,就会相应地以二进制的形式表现出来。3 数码显示模块生成的逻辑符号图图3-5数码显示生模块逻辑符号图3.3 模式选择模块1 模式选择模块根据设计的要求,该简易乐曲演奏器能实现自动演奏乐曲的功能。与此同时,还需要一个整体复位信号rst来控制该演奏器是否工作,当rst为1时,停止演奏,为0时,可以演奏。2 模式选择模块仿真图由仿真图可以看出,当rst为1时,输出为0,电路停止工作。图3-6模式选择模块仿真图3 模式选择模块生成的逻辑符号图图3-7模式模块逻辑符号图3.4 蜂鸣器模块1 蜂鸣器模块 该模块的clk端输入一个具有较高频率(本实验为20MHz)的信号,通过分频后由spks输出。由于直接从数控分频器中出来的输出信号是脉宽极窄的脉冲信号,为了便于驱动喇叭,需另加一个D触发器均衡其占空比,也即作二分频处理。speak对clk输入信号的分频比由11位预置数tone10.0决定。spks的输出频率将决定每一音符的音调,这样分频计数器的预置数tone10.0与spks的输出频率就有了对应关系。2 蜂鸣器模块的仿真图 图3-10蜂鸣器模块仿真图在此仿真图中,输入clk是一个频率较大的时钟信号,输入tone代表着某个音符的分频初始值,输出spks则代表将输入clk先经过12次分频,再经过(预置数终值2048-tone)次分频,最终再进行二分频处理,而这个信号的频率就是我们需要演奏的音谱的频率,根据频率的不同,从而能通过喇叭听到不同的声音,实现音乐的播放。3 蜂鸣器模块生成的逻辑符号图图3-11蜂鸣器模块逻辑符号图4 顶层文件利用元件例化把各个子模块组合起来,进行编译、下载,从而实现整体功能。它将音调发生器模块、音调编码器模块、分频器模块、模式选择模块,手动输入模块及数控分频器模块连接起来。图3-12顶层文件仿真图5 硬件下载输入程序并且编译无误,并且仿真无误后,便进行引脚锁定及程序下载,在进行引脚锁定时必须对照引脚锁定图进行锁定。引脚锁定图如图3-13所示:CLK为20M时钟输入,RST为整体复位开关,CODE1为数码管显示,HIGH1为高音显示,SEL速度控制开关,SPKS为蜂鸣器输入。图3-13引脚锁定图 引脚锁定后下载到FPGA试验箱的EP3C40Q240C8芯片中,通过开关及按键的控制,试验箱的蜂鸣器就会根据选择演奏优美的音乐,经验证本次设计完全达到了预先的设计要求。硬件锁引脚图总结 通过本次课程设计,深刻体会了VHDL设计的优势,通过强大的EDA工具和硬件描述语言使演奏电路很易实现。并且通过此次设计让我们把课本中的知识系统的联系起来,更加体会到模块式设计的方法所带来的方便和明了化。通过模块式的方法,可以将复杂的总程序分成几个模块各自分工执行,独立工作互不干扰。然后通过原理图将各个模块直接相连,或者用元件例化的方式,用VHDL语言进行描述,达到了统一化管理各个模块的作用。通过查找资料,与同学交流增强了我们自主学习的能力;了解到了声音音谱的发生规律,信号的频率与声音的关系,并且通过对基准频率的分频,来生成不同的声谱。从设计方面得知,在进行设计时,应首先对各方面的资料进行综合,在基本原理的范围内进行模块式的分解和综合,最后达到设计的需求。这次设计使我对quartus的运用更加灵活,熟悉了对该软件从工程建立到程序下载执行各个步骤的操作,对以前学习上的不足得到了补充。总之,这次设计让我们学到了很多知识,为我们以后的学习奠定了基础。在课设的过程当中,不知不觉中增添了对专业课的学习兴趣,感觉我们学的东西终于与实际真正得结合起来了,学习的知识不再感觉枯燥乏味。尤其是当自己设计的音乐播放器发出声音的时候,心中的喜悦与自豪油然而生。最后,感谢老师的辛勤指导和严格要求。真诚地说一声:老师,您辛苦了!还有帮助过我的同学,谢谢你们。附录全部程序如下:library ieee;use ieee.std_logic_1164.all;entity yuepu IS port( clk:in std_logic; sel:in std_logic_vector(0 to 1); sel2: in std_logic_vector(0 to 1); toneindex:out integer range 0 TO 15); end yuepu;architecture bhv of yuepu IS type yinyue1 is array(0 to 369) of integer range 0 to 15; type yinyue2 is array(0 to 498) of integer range 0 to 15; type yinyue3 is array(0 to 198) of integer range 0 to 15; type yinyue4 is array(0 to 159) of integer range 0 to 15;constant memory1:yinyue1:=(12,12,13,13,1,1,1,1,1,1,5,5,3,3,3,3,3,3,2,2,3,3,3,3,3,3,3,3,3,3,3,3,12,12,13,13,1,1,1,1,1,1,5,5,2,2,2,2,2,2,1,1,2,2,2,2,2,2,2,2,2,2,2,2,3,3,5,5,6,6,6,6,6,6,6,6,6,6,6,6,3,3,5,5,1,1,1,1,1,1,3,3,2,2,14,14,13,13,13,13,0,0,2,2,2,2,3,3,2,2,2,2,14,14,13,13,5,5,5,5,5,5,5,5,5,5,5,5,5,5,6,6,1,1,1,1,1,1,6,6,1,1,1,1,1,1,1,1,1,1,1,1,3,3,15,15,7,7,7,7,7,7,6,6,7,7,7,7,7,7,7,7,7,7,7,7,6,6,3,3,2,2,2,2,2,2,2,2,3,3,7,7,7,7,6,6,5,5,5,5,5,5,5,5,5,5,5,5,5,5,6,6,15,15,15,15,15,15,6,6,15,15,15,15,15,15,15,15,15,15,15,15,3,3,15,15,7,7,7,7,7,7,6,6,7,7,7,7,7,7,7,7,7,7,7,7,6,6,3,3,2,2,2,2,3,3,5,5,5,5,5,5,5,5,0,0,2,2,2,2,13,13,1,1,1,1,1,1,1,1,1,1,1,1,5,5,6,6,1,1,1,1,1,1,1,1,1,1,1,1,6,6,3,3,2,2,2,2,2,2,3,3,5,5,5,5,5,5,5,5,0,0,2,2,2,2,13,13,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,0,0,0,0,0,0,0,0,0,0,0,0); -牧羊曲constant memory2:yinyue2:=(12,12,13,13,1,1,1,1,1,1,1,1,1,1,1,1,13,13,12,12,1,1,1,1,1,1,2,2,1,1,1,1,13,13,1,1,2,2,2,2,2,2,2,2,2,2,2,2,1,13,13,2,2,2,2,2,2,3,3,2,2,2,2,3,3,5,5,6,6,5,5,5,5,5,5,6,6,6,6,5,5,3,3,2,2,3,3,2,2,1,1,13,13,13,13,12,12,13,13,12,12,13,13,1,1,1,1,1,1,1,1,1,1,1,1,13,13,12,12,1,1,1,1,1,1,2,2,1,1,1,1,13,13,1,1,2,2,2,2,2,2,2,2,2,2,2,2,1,13,13,2,2,2,2,2,2,3,3,2,2,2,2,3,3,5,5,6,6,5,5,5,5,5,5,6,6,6,6,5,5,3,3,2,2,3,3,2,2,1,1,13,13,13,13,12,12,13,13,1,1,1,1,1,1,1,1,1,1,1,1,2,2,1,1,1,1,1,1,1,1,1,1,1,1,1,1,3,3,5,5,6,6,6,6,6,6,6,6,6,6,6,6,15,15,6,6,5,5,5,5,5,5,6,6,5,5,5,5,3,3,2,2,1,1,1,1,1,1,1,1,1,1,1,1,2,2,2,2,3,3,3,3,3,3,3,3,3,3,3,3,3,3,2,2,1,1,1,1,1,1,1,1,1,1,1,1,15,15,6,6,5,5,5,5,5,5,6,6,5,5,5,5,3,3,5,5,6,6,6,6,6,6,15,15,6,6,5,5,5,5,5,5,5,5,5,5,5,5,5,5,3,3,5,5,6,6,6,6,6,6,6,6,6,6,6,6,15,15,6,6,5,5,5,5,5,5,6,6,5,5,5,5,3,3,2,2,1,1,1,1,1,1,1,1,1,1,1,1,5,5,5,5,5,3,3,3,3,3,3,3,3,3,3,3,3,3,3,2,2,1,1,1,1,1,1,1,1,1,1,1,1,2,2,3,3,5,5,5,5,5,5,3,3,5,5,5,5,3,3,5,5,6,6,6,6,6,6,6,6,6,6,6,6,6,6,6,6,0,0,0,0,0,0,13,13,3,3,2,2,2,2,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,1,1,1,1); -大海constant memory3:yinyue3:=(3,5,5,5,6,15,6,5,5,5,5,5,5,5,5,5,3,5,5,5,6,15,8,9,9,9,9,9,9,9,9,9,9,9,9,9,9,9,9,9,5,6,5,5,5,5,5,5,9,9,9,9,9,9,15,15,15,15,8,8,8,8,8,8,8,8,8,8,8,8,9,8,15,6,6,6,6,6,6,6,9,8,15,6,8,15,15,3,5,6,5,5,5,5,5,5,8,8,8,8,8,8,9,8,15,6,6,6,6,6,6,6,9,8,9,8,9,6,9,9,9,9,10,15,8,9,9,10,10,10,10,11,11,9,9,10,10,10,10,10,10,11,11,11,11,11,11,11,9,9,9,10,10,10,10,15,8,9,9,10,10,10,10,11,11,9,9,10,10,10,10,10,10,10,15,8,9,8,15,6,8,15,6,5,5,6,15,8,9,910,10,10,10, 11,11,15,15,15,15); -欢乐颂constant memory4:yinyue4:=(3,3,3,3,1,1,1,1,3,3,3,3,1,1,1,1,3,3,3,3,5,5,6,6,5,5,5,5,0,0,0,0,6,6,6,6,6,6,5,5,4,4,4,4,4,4,4,4,2,2,3,3,2,2,1,1,2,2,2,2,0,0,0,0,3,3,3,3,1,1,0,0,3,3,3,3,1,1,0,0,3,3,3,3,5,5,6,6,6,6,6,6,0,0,0,0,15,15,15,15,5,5,5,5,6,6,6,6,3,3,3,3,2,2,1,1,2,2,3,3,5,5,5,5,5,5,5,5,15,15,15,15,5,5,5,5,6,6,6,6,3,3,3,3,2,2,1,1,2,2,3,3,1,1,1,1,1,1,1,1); -数鸭子 signal counter1:integer range 0 to 500; signal counter2:integer range 0 to 500; signal counter3:integer range 0 to 500; signal counter4:integer range 0 to 500; signal clk2:std_logic; signal clk3:std_logic; signal clk4:std_logic; signal clk1:std_logic;begin process (clk) variable count1:integer range 0 to 10000000;variable count2:integer range 0 to 10000000;variable count3:integer range 0 to 10000000; begin if (clkevent and clk=1) then count1:=count1+1; if( count1=625000) then clk2=not clk2;count1:=0; end if; end if; if (clkevent and clk=1) then count2:=count2+1; if( count2=2500000) then clk3=not clk3;count2:=0; end if; end if; if (clkevent and clk=1) then count3:=count3+1; if( count3=1250000) then clk4clk1clk1clk1null; end case;end process; process(clk1) begin if(clk1event and clk1=1)then counter1369) then counter1=0; end if; end if; if(clk1event and clk1=1)then counter2498) then counter2=0; end if; end if; if(clk1event and clk1=1)then counter3198) then counter3=0; end if; end if; if(clk1event and clk1=1)then counter4159) then counter4toneindextoneindextoneindextoneindexnull; end case; end process; end bhv;library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all; entity tonetaba is port (index: in integer range 0 to 15; code: out std_logic_vector(7 downto 0); high1: out std_logic_vector(2 downto 0); tone: out integer range 0 to 2047); end tonetaba;architecture bhv of tonetaba is beginprocess(index) begin case index is when 0 =tone=2047;code=11000000; high1tone=1091;code=11111001; high1tone=1196;code=10100100; high1tone=1289;code=10110000; high1tone=1331;code=10011001; high1tone=1409;code=10010010; high1tone=1479;code=10000010; high1tone=1541;code=11111000; high1tone=1621;code=10100100; high1tone=1668;code=10110000; high1tone=1728;code=10010010; high1tone=1763;code=10000010; high1tone=772; code=10010010; high1tone=911; code=10000010; high1tone=911; code=11111000; high1tone=1569;code=11111001; high1null; end case; end process;end bhv;library ieee;use ieee.std_logic_1164.all;entity bmux is port( index2:in integer range 0 to 15; rst:in std_logic; toneindex:out integer range 0 to 15); end;architecture bhv of bmux isbeginprocess(rst,index2)begin if (rst=0)then toneindex=0; else toneindex=index2; end if; end process;end bhv;library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all; entity speak is port(clk:in std_logic; tone:in integer range 0 to 2047; spks:out std_logic); end speak;architecture bhv of speak is signal preclk,fullspks:std_logic; beginprocess(clk) variable count: integer range 0 to 5; variable ff:std_logic; begin if (clkevent and clk=1 )then if count=5 then count:=count+1; else count:=0; ff:=not ff; end if; end if; preclk=not ff;end process;process(preclk,tone) variable count11:integer range 0 to 2047; beginif (preclkevent and preclk=1) then if (count11=2047) then count11:=tone;fullspks=1; else count11:=count11+1;fullspks=0; end if;end if;end process;process(fullspks) variable count2:std_logic; begin if (fullspksevent and fullspks=1) then count2:=not count2; if (count2=1 )then spks=1; else spksclk20MHZ,sel=sel,sel2=sel2,toneindex=toneindex);U2:tonetaba port map(index=toneindex2,tone=tone,code=code1,high1=high1);U3:speak port map(clk=clk20MHZ,tone=tone,spks=spkout);U4:bmux port map(index2=toneindex,rst=rst,toneindex=toneindex2); end bhv;29附件1: 大学本科毕业论文(设计)工作程序要求阶段工作程序及要求完成时间第一阶段(准备阶段)(一)确定题目和指导教师1.学院(系)成立毕业论文(设计)领导小组;2.学院(系)向教师(具有讲师以上职称或具有研究生学历的助教)分派指导论文(设计)任务,院(系)公布备选题目一览表;3.学院(系)召开指导教师和学生参加的毕业论文(设计)布置大会;4.学生根据自己的专业兴趣、学术特长选定论文题目,确定指导教师,也可与指导教师协商后确定论文题目;5.学院(系)将选题结果汇总成表,报教务处实践教学科备案。每学年第一学期第8周前(二)做好论文开题、写作的准备工作1.指导教师向学生传达毕业论文(设计)要求及有关管理规定,师生沟通交流课题任务,使学生正确理解课题,为开题做准备;2.学生确定论文题目后,应在指导教师的指导下进行文献检索、实习调研以及实验等论文前期准备工作。每学年第一学期第8周以后第二阶段(开题及写作阶段)(三)做好开题报告教研室组织教师指导学生做好开题报告,院(系)检查开题情况,教务处抽查。每学年第二学期第2周前(四)认真进行毕业论文(设计)指导、检查工作。1指导教师做好指导工作,定期检查学生的工作进度和质量,及时解答和处理学生提出的有关问题;2学院(系)要随时了解、检查论文写作进展情况,及时研究协调处理毕业论文写作过程中的有关问题。每学年第二学期(五)毕业论文中期检查教研室组织中期毕业论文检查工作,做好记录,学生须向指导教师汇报工作进度和工作质量,并填写中期检查表。每学年第二学期第8周第三阶段(评审答辩阶段)(六)指导教师评定毕业论文答辩前一周,学生将毕业论文交指导教师,指导教师需认真审阅,写出评语和评分。每学年第二学期第13-14周(七)评阅老师评阅毕业论文学院(系)或教研室安排有关教师,详细评阅每个学生的毕业论文,给出评分。(八)组织答辩学院(系)成立答辩委员会,组织答辩小组对学生进行论文答辩,答辩日程安排通知教务处,并做好答辩记录,给出答辩成绩。每学年第二学期第15周前(九)综合评定成绩学院(系)组织专门人员检查评分标准执行情况,进行成绩汇总和统计;毕业论文成绩及时报送教务处。每学年第二学期第15周前(十)毕业论文归档管理学院(系)收集并整理归档毕业论文有关材料,包括鉴定表(2份)、开题报告(1份)、中期检查表(1份)、评分表(1份)、论文(设计)(1份)及相应电子文档,填写本科生毕业论文(设计)工作总结表,一份交教务处实践教学科。每学年第二学期第16周前(十一)校级优秀毕业论文评选每学年第二学期第17周前注:1.提前或推延进行毕业论文(设计)的,各阶段要求相同,日程自定;2.毕业论文(设计)工作三个阶段时间安排,可根据各专业特点适当调整。 附件2: 大学本科毕业论文(设计)撰写规范一、毕业论文(设计)文本结构毕业论文(设计)主要由8个部分组成:封面;目录;题目;中外文摘要;正文;参考文献;谢辞;附录。二、毕业论文(设计)各部分规范1. 封面封面按学校规定的格式填写,包括论文(设计)题目、作者姓名、指导教师姓名、学科专业等内容。2. 目录目录由毕业论文(设计)各部分内容的顺序号、名称和页码组成,目次中的内容一般列出二级标题即可。目录应该用“”连接名称与页码。3. 题目论文(设计)题目要恰当、简明、凝练,能够反映论文的主题及其内容,做到文、题贴切。题目中不使用非规范的缩略词、符号、代号和公式,通常不采用问话的方式。题目所使用的词语应当考虑到有助于选择关键词和编制题录、索引等。题目的中文字数一般不超过20个字,外文题目不超过10个实词,中外文标题应一致,居中编排格式。4. 中外文摘要及关键词摘要是对毕业论文(设计)内容不加注释和评论的简短陈述。摘要主要是说明研究工作的目的、方法、结果和结论。摘要应具有独立性和自含性,即不阅读全文,就能获得毕业论文(设计)必要的信息,使读者确定有无必要阅读全文。摘要中应用第三人称的方法记述论文的性质和主题,不使用“本文”、“作者”等作为主语,应采用“对进行了研究”、“报告了现状”、“进行了调查”等表达方式。排除在本学科领域已成为常识的内容,不得重复题目中已有的信息。语句要合乎逻辑关系,尽量同正文的文体保持一致。结构要严谨,表达要简明,语义要确切,一般不再分段落。对某些缩略语、简称、代号等,除了相邻专业的读者也能清楚理解的以外,在首次出现处必须加以说明。摘要中通常不用图表、化学结构式以及非公知公用的符号和术语。毕业论文(设计)的摘要包含中文摘要和外文摘要。中文摘要字数约为200300字,外文摘要约为200300个实词。关键词(Keywords)是为了文献标引,从汉语主题词表或论文中选取出来,用以表示全文主题内容信息的词语或术语。关键词不宜用非通用的代号和分子式。关键词一般为36个。关键词的排序,通常应按研究的对象、性质(问题)和采取的手段排序。中文关键词两词之间应留出一个汉字的空间,不加任何标点符号;外文关键词之间用分号隔开。5. 正文毕业论文(设计)的正文包括前言(引言)、正文、结论三个部分。外语类专业毕业生必须用所学专业外语撰写。毕业论文(设计)的篇幅一般6000字左右。(1)前言(引言)前言(引言)主要说明研究工作的目的、范围,对前人的研究状况进行评述分析,阐明研究设想、研究方法、实验设计、预期结果、成果的意义等。(2)正文正文是对研究工作与研究内容的详细表述,一般由标题、文字、表格或公式等部分组成。该部分要运用选定的研究方法分析问题、论证观点,尽量反映出研究能力和学术水平。正文是毕业论文(设计)的核心部分,占据主要篇幅。正文是论文的主体,要求观点清晰、论点正确、论据可靠、论证严密、层次清楚。正文中的图表和计量单位要规范。图须有序号、图题、图例、量和单位,图序号须用阿拉伯数字,与图题空 1 格,写在图下方;表格采用 3线表,表头线和表尾线为粗黑线,表两边不要串写文字,表序号须用阿拉伯数字,与标题空 1格,写在表上方;一律使用法定计量单位。(3)结论结论是对整个研究工作进行归纳和总结。结论应当准确、完整、明确、精练。如不可能导出应有的结论,也可以没有结论而进行必要的讨论,阐述本课题研究中存在的问题及进一步开展研究的建议。6. 谢辞(致谢)谢辞(致谢)是对给予各类资助、指导和协助完成科研工作,以及提供各种条件的单位和个人表示的感谢。谢辞应实事求是。7. 参考文献文后参考文献,是论文的重要组成部分,按顺序和规定的格式列在正文之后。所列出的文献,应当是作者亲自阅读或引用过的,出处要翔实,要进行核实查对。所引用的文献应是公开出版的刊物或著作,内部刊物一般不引用。正文中参考文献的标注方法,是在引用文字(即所引用的词组、句子、段落等)结束处的右上角标出参考文献序号。全文参考文献的序号要按照从小到大的次序排列,某一文献多次引用时,要用同一序号标出。文后参考文献的编写方式,是依正文中参考文献序号的次序排列所有的参考文献,且一个参考文献只能出现一次。8. 附录凡不宜收入正文中而又有价值的内容,可编入毕业论文的附录中。附录内容主要包括:正文中所使用公式的推导与证明过程;使用的主要符号、单位、缩写、程序全文及其说明等;在正文中无法列出的实验数据;重复性数据图表;调查问卷等。附件3: 大学本科毕业

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论