EDA技术课程设计---六位频率计的设计.doc_第1页
EDA技术课程设计---六位频率计的设计.doc_第2页
EDA技术课程设计---六位频率计的设计.doc_第3页
EDA技术课程设计---六位频率计的设计.doc_第4页
EDA技术课程设计---六位频率计的设计.doc_第5页
已阅读5页,还剩7页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

目目 录录 一一 概述概述.- 1 - (一)设计背景及意义.- 1 - (二)设计任务与要求.- 1 - 二二 六位频率计的工作原理六位频率计的工作原理.- 1 - 三三 六位频率计的设计与仿真六位频率计的设计与仿真.- 2 - (一)六位十进制频率计的设计与仿真.- 2 - (二)六位十六进制频率计的设计与仿真.- 5 - 四四 调试过程、测试结果及分析调试过程、测试结果及分析.- 8 - (一)六位十进制频率计的测试结果与分析.- 8 - (二)六位十进制频率计扩展功能的测试结果与分析.- 8 - (三)六位十六进制频率计的测试结果与分析.- 8 - 五五 课程设计体会课程设计体会.- 9 - 六六 参考文献参考文献.- 9 - - 1 - 六位频率计的设计 一一 概述概述 (一)设计背景及意义(一)设计背景及意义 现代电子设计技术的核心已日益趋转向基于计算机的电子设计自动化技术, 即 eda(electronic design automation)技术。为了加深对 eda 技术的理解, 培养 eda 设计的能力和团队协作能力,于是按要求完成了本次课程设计。 (二)设计任务与要求(二)设计任务与要求 1 1、设计任务、设计任务 采用原理图设计并制作六位十进制频率计,用 vhdl 语言方法设计并制作六 位十六进制频率计。 2 2、设计要求、设计要求 a) 参考信号频率为 1hz; b) 测量频率范围:六位十进制频率计:1hz100khz; 六位十六进制频率计:1hz4mhz; c) 结果能用数码显示器显示。 二二 六位频率计的工作原理六位频率计的工作原理 六位频率计由:测频控制电路、锁存器、计数器三大部分组成。结构框图 如图 1 所示。 测频控制电路计数器 锁存器 显示电路 比较信号 被测信号 图 1:六位十进制频率计结构框图 - 2 - 六位频率计可对被测信号频率进行测量。测频控制电路的计数使能信号能 产生一个固定脉宽的周期信号,并对频率计中的计数器的使能端进行同步控制。 计数器高电平时开始计数,低电平时停止计数,并保持其所计的脉冲数。在停 止计数期间,首先需要一个锁存信号的上升延将计数器在前一秒钟的计数值锁 存进锁存器中,并由外部的显示电路显示出来。 三三 六位频率计的设计与仿真六位频率计的设计与仿真 (一)(一)六位十进制频率计的设计与仿真六位十进制频率计的设计与仿真 1.测频控制电路原理图及仿真波形图 - 3 - 2.十进制计数器原理图及仿真波形图 - 4 - 3.六位十进制频率计原理图及仿真波形图 - 5 - 4.六位十进制频率计扩展功能说明 方案一:将测频控制电路修改为如图 2 所示电路即可实现频率直接读数, 而不需换算的过程。 方案二:cnt_en 的输入设置为:同样也可以 abcd qqqqencnt_ 实现频率直接读数,而不需换算的过程。 (二)(二)六位十六进制频率计的设计与仿真六位十六进制频率计的设计与仿真 1.测频控制电路 vhdl 描述及仿真波形图 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity plj is port( clk : in std_logic; cnt_en : out std_logic; rst_cnt : out std_logic; load : out std_logic); end plj; architecture behav of plj is signal div2clk : std_logic; begin process(clk) begin if clkevent and clk = 1 then div2clk 0); elsif finevent and fin = 1 then if enabl = 1 then cqi clk1hz, cnt_en =tsten1, rst_cnt = clr_cnt1, load = load1); u2: scq port map ( lk = load1, din = dto1, dout = dout); u3: jsq port map ( fin = fsin, clr = clr_cnt1, enabl = tsten1, dout = dto1); end struc; 四四 调试过程、测试结果及分析调试过程、测试结果及分析 (一)(一)六位十进制频率计的六位十进制频率计的调试过程、测试结果及分析调试过程、测试结果及分析 表 1 六位十进制频率计的测试结果 调试过程调试过程: 调试过程分三步,首先是测频控制电路调试,然后就是计数器电路调试,最后 就是综合电路调试。 测频控制电路调试:观察输出的波形,输出波形中 clr 和 lock 信号开始出现一 段 xx 波形,原因就是单片机开始识别的是一个强未知的信号。使能信号 enb 输 出的是时钟信号 clk 的 16 分频信号,而清零信号 clr 和锁存信号输出的都是时 钟信号 clk 的二分频信号。 计数器电路调试:观察输出波形,使能信号 enb 为高电平 1 时开始计数,时钟 信号出现上升沿,输出信号 q 自加一,当计满 9 时,进位信号 cout1 产生 1 段 clk1=1hz(测试门限为 8s) clk1hz10hz100hz1khz10khz100khz 测试 结果 880800800080000800000 - 9 - 高电平,当清零信号 clr 为高电平 1 时,输出 q 清零,使能信号为低电平 0 时, 输出 q 停止计数。 综合电路调试:观察波形图,实验设置的被测信号 clk1 与对比信号 clk 的比为 10:1,输出 a 从 000000 计数到 000080,以此循环计数,锁存信号 b 一直处于 000080。观察试验箱的结果如表一。 在这些调试过程中也会出现一些小问题,比如原理图连线出现短接、仿真频率 参数设置、导线接触不良、license 参数、仿真时工程名和仿真文件名保持一 致等等一系列问题,解决的办法也只有细心和耐心,一步一步的去排除。 分析:分析: 测频控制电路能够产生设计要求的输出信号计数器时钟使能信号 enb、计 数器清零信号 clr 和输出锁存信号 lock。如何让这些信号参数达到要求就是电 路图的功能。首先是 7493 这个器件,可以相当于 4 个二分频电路,qa 是二分 频、qb 是四分频、qc 是八分频、qd 是十六分频,所以 qd 端产生的信号频率就 是计数器使能信号的频率,这样满足设计要求。锁存信号 lock 就是当计数器计 满一个周期时锁存计数结果,锁存信号频率也要和计数器周期频率保持一致。 而清零信号仅仅在计数初期出现一段清零,都满足设计要求。 计数器电路满足的就是计数功能,当时钟信号 clk 出现上升沿,并且计数器使 能信号 enb 为高电平时,计数器自加 1,当使能信号为低电平 0 时,停止计数, 并且保持不变;当清零信号 clr 为高电平 1 时,计数器清零。当计数器计满 9 时,进位信号出现高电平 1,满足设计要求。 综合电路要求就是能够对频率进行循环计数。实验结果与真实值存在 8 倍的关 系,这于实验中所选的器件有关系,在上面所讲的 7493 的作用,而计数器的使 能信号就是时钟信号 clk 的十六分频信号 qd,而能够计数的信号又是使能信号 的一半,所以实验结果与真实值存在 8 倍的关系。 (二)(二)六位十进制频率计扩展功能的六位十进制频率计扩展功能的调试过程、测试结果及分析调试过程、测试结果及分析 表 2 六位十进制频率计的测试结果 调试过程:调试过程: 调试过程就是把测频控制电路进行改进或者更换,改进就是将 cnt_en 的输入设 置为:,更换就是换成如图 2 所示的电路。其他 abcd qqqqencnt_ 调试过程都保持不变。 实验结果与实际值相同,原来的 8 倍关系被去掉了。试验箱结果入上表。 clk1=1hz(测试门限为 1s) clk1hz10hz100hz1khz10khz100khz 测试 结果 110100100010000100000 - 10 - 分析:分析: 把 cnt_en 的输入设置为:,这样就把时钟信号 abcd qqqqencnt_ clk 与计数器使能信号 enb 之间的频率比值定为 1:0.5,然而实现计数的使能信 号与时钟信号的频率比值为 1:1,满足扩展要求。 (三)(三)六位十六进制频率计的六位十六进制频率计的调试过程、测试结果及分析调试过程、测试结果及分析 表 2 六位十六进制频率计的测试结果 调试过程:调试过程: 基本步骤与原理图的步骤差不多,只是把原理图改成 vhdl 程序语言。调试过程 分四个部分测频控制调试、锁存器调试、计数器调试和综合调试。 测频控制调试就是观察输出波形与程序相不相符,波形显示时钟使能信号 cnt_en 和输出锁存信号 load 都是时钟信号的二分频信号清零信号 rst-en 也按 规律显示。 锁存器调试就是观察是否实现锁存功能,波形显示时钟信号 lk 出现上升沿时, 就把此状态下的计数器的值赋给输出 dout 信号。 计数器调试过程与原理图中的计数器相似,唯一的区别就是当计数器计满 f 时, 进位信号才会出现高电平,其他的调试过程都一样。 综合调试过程也和原理图中的综合调试部分相同,只是结果显示的数据用十六 进制来显示。 这些过程中也会出现一些问题,除了原理图方式中的那些问题,问题主要出现 在编程中,语句不全、语句遗漏、单词拼写错误、分号遗漏、调用元件之前要 做声明、元件例化语句中的端口连接前后循序等等。这些问题不仅需要细心和 耐心,还要认真查找书籍中的例子。 分析:分析: 测频控制 clk1=1hz(测试门限为 1s) clk1hz10hz100hz1khz10khz100khz1mhz2mhz4mhz 测试 结果 1hah64h3e8h2710h186a0hf4240h1e8480h 3d0900h - 11 - 五五 课程设计

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论