MSP430毕业设计---MSP430 16位单片机温度计.doc_第1页
MSP430毕业设计---MSP430 16位单片机温度计.doc_第2页
MSP430毕业设计---MSP430 16位单片机温度计.doc_第3页
MSP430毕业设计---MSP430 16位单片机温度计.doc_第4页
MSP430毕业设计---MSP430 16位单片机温度计.doc_第5页
已阅读5页,还剩9页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

msp430 16位单片机温度计 msp430单片机的简介msp430系列单片机是美国德州仪器(ti)1996年开始推向市场的一种16位超低 msp430单片机1功耗、具有精简指令集(risc)的混合信号处理器(mixed signal processor)。称之为混合信号处理器,是由于其针对实际应用需求,将多个不同功能的模拟电路、数字电路模块和微处理器集成在一个芯片上,以提供“单片”解决方案。该系列单片机多应用于需要电池供电的便携式仪器仪表中。msp430单片机的发展德州仪器1996年到2000年初,先后推出了31x、32x、33x等几个系列,这些系列具有lcd驱动模块,对提高系统的集成度较有利。每一系列有rom 型(c)、otp 型(p)、和 eprom 型(e)等芯片。eprom 型的价格昂贵,运行环境温度范围窄,主要用于样机开发。这也表明了这几个系列的开发模式,即:用户可以用 eprom 型开发样机;用otp型进行小批量生产;而rom型适应大批量生产的产品。 2000 年推出了11x/11x1系列。这个系列采用20脚封装,内存容量、片上功能和 i/o 引脚数比较少,但是价格比较低廉。 这个时期的mps430已经显露出了它的特低功耗等的一系列技术特点,但也有不尽如人意之处。它的许多重要特性如:片内串行通信接口、硬件乘法器、足够的 i/o 引脚等,只有33x系列才具备。33x系列价格较高,比较适合于较为复杂的应用系统。当用户设计需要更多考虑成本时,33x并不一定是最适合的。而片内高精度a/d转换器又只有32x系列才有。 2000年7月推出了f13x/f14x 系列,在2001年7月到2002年又相继推出f41x、f43x、f44x。这些全部是 flash 型单片机。 f41x系列单片机有48个i/o 口,96段lcd驱动。f43x、f44x系列是在13x、14x的基础上,增加了液晶驱动器,将驱动lcd的段数由3xx系列的最多120段增加到160段。并且相应地调整了显示存储器在存储区内的地址,为以后的发展拓展了空间。 msp430系列的部分产品具有flash存储器,在系统设计、开发调试及实际应用上都表现出较明显的优点。ti公司推出具有flash 型存储器及jtag 边界扫描技术的廉价开发工具msp-fet430x110,将国际上先进的jtag技术和flash在线编程技术引入msp430。这种以flash 技术与fet开发工具组合的开发方式,具有方便、廉价、实用等优点,给用户提供了一个较为理想的样机开发方式。 2001年ti 公司又公布了bootstrap loader技术,利用它可在烧断熔丝以后只要几根线就可更改并运行内部的程序。这为系统软件的升级提供了又一方便的手段。bootstrap 具有很高的保密性,口令可达到 32个字节的长度。 ti公司在2002年底和2003年期间又陆续推出了f15x和f16x系列的产品。 在这一新的系列中,有了两个方面的发展。一是从存储器方面来说,将 ram 容量大大增加,如f1611的ram容量增加到了10kb。二是从外围模块来说,增加了i2c、dma、dac12 和svs等模块。msp430系列单片机是一种新型的16位单片机,主要特点就是功耗小,速度快,主要开发语言是c。开发环境有iar集成开发环境。 msp430单片机有不同系列,有f系列,c系列。比如f系列msp40f135表示的是rom是flash型的。常用的是f系列的13系列14系列。13系列的有msp430f133和msp430f135两种。14系列的有msp430f149等。 我用的是msp430f135推荐你用msp430f149,msp4301f149有60kb的flash和2kb的ram。比起51的算是很大了。 c51单片机现在很少用了,都被s52单片机代替了。 51单片机和430单片机的主要区别是51是8位的,430是16位的。开发环境都有相应的c开发环境。 学习430单片机有了51的基础应该很容易入门,我是一开始就是接触430单片机,后来才接触51的,觉得430的不错,特别是功耗和速度方面。如果你想跑操作系统的话就买现在ti公司的新系列的单片机,ram有10k。89c 51 单片机是 8 位单片机。其指令是采用的被称为“ cisc ”的复杂指令集,共具有 111 条指令。而 msp430 单片机是 16 位的单片机,采用了精简指令集( risc )结构,只有简洁的 27 条指令,大量的指令则是模拟指令,众多的寄存器以及片内数据存储器都可参加多种运算。这些内核指令均为单周期指令,功能强,运行的速度快。 其次, 89c 51 单片机本身的电源电压是 5 伏,有两种低功耗方式:待机方式和掉电方式。正常情况下消耗的电流为 24ma ,在掉电状态下,其耗电电流仍为 3ma ;即使在掉电方式下,电源电压可以下降到 2v ,但是为了保存内部 ram 中的数据,还需要提供约 50ua 的电流。而 msp430 系列单片机在低功耗方面的优越之处,则是 89c 51 系列不可比拟的。正因为如此, msp430 更适合应用于使用电池供电的仪器、仪表类产品中。 再者, 89c 51 系列单片机由于其内部总线是 8 位的,其内部功能模块基本上都是 8 位的虽然经过各种努力其内部功能模块有了显著增加,但是受其结构本身的限制很大,尤其模拟功能部件的增加更显困难。 msp430 系列其基本架构是 16 位的,同时在其内部的数据总线经过转换还存在 8 位的总线,在加上本身就是混合型的结构,因而对它这样的开放型的架构来说,无论扩展 8 位的功能模块,还是 16 位的功能模块,即使扩展模 / 数转换或数 / 模转换这类的功能模块也是很方便的。这也就是为什么 msp430 系列产品和其中功能部件迅速增加的原因。 最后,就是在开发工具上面。对于89c51来说,由于它是最早进入中国的单片机,人们对它在熟悉不过了,再加上我国各方人士的努力,创造了不少适合我们使用的开发工具。但是如何实现在线编程还是一个很大的问题。对于 msp430 系列而言,由于引进了 flash 型程序存储器和 jtag 技术,不仅使开发工具变得简便,而且价格也相对低廉,并且还可以实现在线编程新手如何入门msp430我想作为非常新手,你手上是否准备好以下几样硬件:计算机(带有并口)1台,msp430fet仿真器1套,msp430开发实验板1套,和一些msp430教程或电子教程资料等。如果齐的话,那么我们就可以启动了。若然还差些什么的,建议到微控设计网(www.m)上看看,看有什么工具或资料能帮助到你的。在翻开msp430的资料或书本教程目录,都会看到好多模块目录,那么我们是不是都要全学呢?如果理想地回答应该“是”。但实际中,对于新手们来我想暂时来说是不必。最起码让新手学些最基础和通用的模块先,以下我是认为较常用的基础模块,在很设计中都有可能用到的。而在学习这些模块中,又是不是所有我都要撑握呢。同样答案是“是的”。但你可以了解一些基础参数及基本原理,当你了撑握了这些基础参数及基本原理,再结合一些模块单元的例程进行综合地分析和理解。在这一学习过程中你会不断地将这些参数及原理存储在你脑海中。当你有一定的基础后,你会发现不断又有新疑问;那么这时候这些疑问就会促使你自己去寻找答案。你可能会不断的翻书本和资料,同时也可能促使你在开发板上进行你的疑问和验证.。在上面的过程中,就是熟悉和撑握这些模块的过程。在这个“学”和“练”的过程中很多都是靠你个人的。当你请教前辈时,人家只会告诉你一些参考思路或方向;往往里面的内容都要自己亲身去验证的。学习当中会往往存在着很“乐事”和“苦事”,相信很多成功的工程师们都会有这样的体会。当然,我们很欢迎将你的“学、练”过程中的苦与乐与我们在微控论坛中分享。【io模块】对于io模块建议分为入门必学与学习进阶两部分,可以不必同一时段内进行理解。入门必学:1初学者必需了解几个常用寄存器使用如p1out,p1in,p1dir,p1sel寄存器。2理解msp430 io口常用编程语法,这些都可以查看参考例程。3结合书本介绍和你的个人理解,然后在开发板上进行实验。例如比如led、响声之类的初级实验。学习进阶:对于io模块的进阶主要是了解如何使用io中断,和io中断相关寄存器的使用。如果不太必要,你可以跳过io中断使用这部分去学习其他章节的基础部分;当你其他章节基础学习到一定程序时再回过头来学习这部分。另外,io模块部分你需要搞清楚在什么时候io为高阻状态?高阻状态时的漏电流为多少?io驱动电流能力如何?等等时常关注的参数你应如何查找答案,你能很快地查找到这些参数吗?我提出来这些问题的因原是促使你尽快学会习惯主动查看器件手册。【时基模块】时基模块是提供整个单片机中各模块的时钟发生源,初学者若要使用到片中某模块时几乎都要使用不同的时钟。所以这章节是非常强调地要去认真理解的。1必须理解dco,smclk,mclk,aclk这4个时钟信号是由那部分产生的。2dco,smclk,mclk,aclk各个时钟有什么优点和点。34个时钟信号中,每个时钟的通常频率范围是多少。4常规的时基控制寄存器设置和时钟如何从引脚输出等等。最后,学习进阶你要理解在不同低功耗模块下,那些时钟源信号还在活动那些已关闭。【timaa模块】入门必学:1初学者需要搞清timera的三个不同工作模式中ta、ccr1、ccr2与ccr0之间的关系。2搞清楚ta、ccr1、ccr2与ccr0之间的中断向量关系。3综合上面的理解,建议结合timera的例程来进行相关验证,只有通过亲自的操作才能有效地记住。学习进阶:如利用timera实现pwm信号输出、利用捕获/比较功能实现捕获信号等等。msp430的若干硬件问题msp430单片机硬件知识(1) 2009-5-29 9:23:53 关注率:221 匠人的百宝箱 msp430单片机是ti公司1996年开始推向市场的超低功耗微处理器,另外他还集成了很多模块功能,从而使得用一片msp430 芯片可以完成多片芯片才能完成的功能,大大缩小了产品的体积与成本。如今,msp430单片机已经用于各个领域,尤其是仪器仪表、监测、医疗器械以及汽车电子等领域。 下面来说一下它的主要特点:(1)低电源电压范围,1.83.6v。(2)超低功耗,拥有5种低功耗模式(以后会详细介绍)。(3)灵活的时钟使用模式。(4)高速的运算能力,16位risc架构,125ns指令周期。(5)丰富的功能模块,这些功能模块包括:a:多通道1014位ad转换器;b:双路12位da转换器;c:比较器;d:液晶驱动器;e:电源电压检测;f:串行口usart(uart/spi);g:硬件乘法器;h:看门狗定时器,多个16位、8位定时器(可进行捕获,比较,pwm输出);i:dma控制器。(6)flash存储器,不需要额外的高电压就在运行种由程序控制写擦欧哦和段的擦除;(7)msp430芯片上包括jtag接口,仿真调试通过一个简单的jtag接口转换器就可以方便的实现如设置断点、单步执行、读写寄存器等调试;(8)快速灵活的变成方式,可通过jtag和bsl两种方式向cpu内装在程序。 关于他的内存器结构,在匠人的博客里已有详细的介绍,大家去看就是了。在这里我主要说说msp430单片机的复位吧。 msp430的复位信号有2种:上电复位信号(por)、上电清除信号(puc)。还有能够触发por和puc的信号:5种来在看门狗,1种来自复位管脚,1种来自写flash键值出现错误所产生的信号。 por信号只在2种情况下发生:(1)微处理上电;(2)rst/nmi管脚上产生低电平时系统复位。 puc信号产生的条件:(1)por信号产生;(2)看门狗有效时,看门狗定时器溢出;(3)写看门狗定时器安全键值出现错误;(4)写flash存储器安全键值出现错误。 por和puc两者的关系:por信号的产生会导致系统复位并产生puc信号。而puc信号不会引起por信号的产生。 无论是por信号还是puc信号触发的复位,都会使msp430从地址0xfffe处读取复位中断向量,程序从中断向量所指的地址处开始执行。触发puc 信号的条件中,除了por产生触发puc信号外,其他的豆科一通过读取相应的中断向量来判断是何种原因引起的puc信号,以便作出相应的处理。 系统复位(指por)后的状态为:(1)rst/nmi管脚功能被设置为复位功能;(2)所有i/o管脚被设置为输入;(3)外围模块被初始化,其寄存器值为相关手册上的默认值;(4)状态寄存器sr复位;(5)看门狗激活,进入工作模式;(6)程序计数器pc载入0xfffe处的地址,微处理器从此地址开始执行程序。msp430的原理图:msp430开发工具的介绍在 mcu 项目开发过程中,始终有两个设备紧密的联系在一起,一个是仿真器,一个是编程器。仿真器, 顾名思义就是模仿单片机的功能;编程器就是把程序编写到单片机内部。 目前公司在 msp430 开发工具方面主要有仿真器、编程器、各类学习板、转接板、适配器等。本文将 主要介绍这些 msp430 工具其特性。 一:仿真器、编程器 仿真器、 iar 和 jtag 无法连接,是怎么回事?这是客户问的问题,在论坛中也很常见,fae 也有在问。我们 就从这个问题开始讲解吧。 msp430 无论是仿真还是烧写程序,一般可以通过:jtag、sbw、bsl 接口进行。 1、jtag 是利用边界扫描技术,在 430 内部有逻辑接口给 jtag 使用,内部有若干个寄存器连接到了 430 内部数据地址总线上,所以可以访问到 430 的所有资源,包括全地址 flash、ram 及各种寄存器。可以 用于对 430 的仿真和编程,主要连接线有 tms、tck、tdi、tdo,430 还需要另两条线路 rst、test 来启动 jtag 命令序列。 2、 sbw 是 spy-bi-wire, 可以简称为两线制 jtag, 主要有 sbwtck (连接到 jtag 接口的 7 脚 tck) 与 sbwtdio(连接到 jtag 接口的 1 脚 tdo/tdi),该接口主要用于小于 28 脚的 2 系列单片机,因为 28 脚以内单片机的 jtag 一般与 io 口复用,为了给用于留有更多的 io 资源,才推出 sbw 接口。sbw 同 jtag 一样可以访问到 430 内部的所有资源。 注:目前 msp430f5xx 系列中也有 sbw 接口,原理同 2 系列的 sbw。 3、bsl 是 ti 在 430 出厂时预先固化到 mcu 内部的一段代码,该代码用户不可读写,这有点类似与 dsp 的 bootloader,但又与 bootloader 有明显的区别,bsl 只能用于对 mcu 内部的 flash 访问,不能对其 他的资源访问,所以只能用作编程器接口。bsl 通过 uart 协议与编程器连接通信。编程器可以发送不同 的通信命令来对 mcu 的存储器做不同的操作,可以把这种方式称为 bsl 接口。 bsl 代码的启动有些特殊,一般 430 复位启动时 pc 指针指向 fffe 复位向量,但可以通过特殊的启动方 式可以使 mcu 在启动时让 pc 指向 bsl 内部固化的程序。 这种特殊的启动方式一般是由 rst 引脚与 test (或 tck)引脚做一个稍复杂的启动逻辑后产生。bsl 启动后,就可以通过预先定义好的 uart 协议命令 对 mcu 进行读写访问了。 4、一般的 mcu 都有代码加密功能,430 是如何实现的呢?外部对 430 内部的代码读写只能通过上述的 三种方式,只要把这三种方式都堵上,430 的程序不就安全了吗?所以又引入了熔丝位,熔丝位只存在于 jtag、sbw 接口逻辑内。当熔丝烧断时(物理破坏,且不可恢复)jtag 与 sbw 的访问将被禁止,此 时只有 bsl 可以访问。而通过 bsl 对 mcu 的访问是需要 32 个字节的密码,该密码就是用户代码的中断 向量表。现在国内有些解密厂商宣传能够解密 430,原理是通过切片剥离的方法把熔丝位连接上,再通过 jtag 或 sbw 接口读出目标代码。而 bsl 则无破解的报告。 仿真器的型号一般支持 jtag、sbw 接口,按照其与主机的连接方式分为 uif(usb 接口,支持 jtag、 sbw),pif(并口,只支持 jtag),ez430(只支持 sbw 模式,目前还不支持 f5xx 系列)。 编程器型号有 gang430(rs232 串行接口,串口、1 拖 8,支持 jtag、sbw,不支持 bsl), prgs430-iiia 多功能编程器(支持 jtag、sbw、bsl),这些编程器都可以做离线烧写,并且支持烧写 熔丝,即脱离计算机来对目标板烧写,其中 prgs 编程器还支持序列号烧写方式。另还有专门的 bsl 编 程器,只支持 bsl 接口,不支持烧写熔丝。 仿真器既然可以访问到 430 内部的所有资源,当然也可以用做编程器使用,除了使用 iar 用源代码烧 写的方式外,还可以通过专业的软件来编程,这类软件有 mspfet、fet-pro430 等。 ti 公司在 jtag、sbw、bsl 方面提供了 api 操作函数,可以利用该函数来设计我们的编程器和仿真 器。 目前仿真器的技术较复杂, 而且是由 iar 软件来负责升级。 编程器在出厂时事先已经编程了一段 monitor 代码,利用这段代码可以实现在线升级,无论是 gang430 编程器还是 prgs 编程器均有此功能。工具功能表: 名称 pif并口仿真器 uifusb 接口仿真器 gang430 编程器 prgs 编程器 bsl 编程器 下载程序 jtag 接口 sbw 接口 bsl 接口 烧断熔丝 离线编程 编程器发展及支持情况: 编程器发展及支持情况: 编程器名称 gang430 prgs 编程器 bsl 编程器 与主机连接方式 rs232 串行口 rs232 串行口 标准 9 芯 rs232 串行口 未来发展 rs232,保留不改变 usb 接口 usb 接口 技术支持情况 ti 支持 技术部支持 技术部支持二:学习板、转接板、适配器 学习板、转接板、 1、学习板 为了初学者或在项目开发初期为了项目认证或可行性方案分析而设计的具有一定功能的工具, 其一般包 括一个单片机最小系统及按照内部模块划分的功能器件。现公司有各种学习板,从 f1 系列到 f5 系列,并 且可以根据用户或某种功能需要定制学习板。学习板配套光盘中一般有实验指导书、学习板参考器件手册、 pdf 版本的原理图及部分工具软件资料。 2、转接板 msp430 的管脚比较密集,而且大都是 smt 工艺的,并没有 dip 封装的,这样就给初学者的调试工作 带来了麻烦,比如功耗测试、简单的代码测试等。为了帮助用户尽快的设计自己的目标系统,而设计的具 有直插封装的转接线路板,板上集成了 430 的最小系统,保留了所有的调试接口,并把所有的 io 口连接 到转接针上。用户只要按照转接针上的定义就可以配套在自己的目标系统中。转接板上的 mcu 是固定焊 接到线路板的,用户不需要自己焊接,除非有损坏情况发生。 3、适配器 如果在转接板上的芯片发生损坏,则需要用户自己更换芯片,这无疑给用户带来困难。建议这种用户可 以选用适配器,适配器是带有 ic 夹头的转接板,而且可以通用(具体查看相应的支持手册)。同样适配器 板有一个最小系统,并且把所有的 io 引出到了连接针上。由于该适配器价格昂贵,一般用在批量生产时烧 写芯片代码使用。 转接板及适配器一般提供参考代码、pdf 版的原理图及部分工具软件。 以上只是对 430 工具的功能介绍,不涉及其内部工作机理。 msp430单片机温度计的制作1元器件选择本系统的温度传感器可选用热敏电阻。在1030的测量范围内,该器件的阻值随温度变化比较大,电路简单,功耗低,安装尺寸小,同时其价格也很低,但其热敏电阻精度、重复性、可靠性相对稍差,因此,这种传感器对于检测在1以下,特别是分辨率要求更高的温度信号不太适用。显示部分可以采用笔段式lcd液晶显示。特别是黑白笔段式液晶显示器的功耗极低,美观适中,价格低廉,而且驱动芯片可选择性强。为此,本设计选用了技术成熟、功耗较低、性能稳定、价格低廉的通用性lcd驱动器ht1621。作为整个系统的核心部件,单片机的选择至关重要。通过比较多家单片机芯片,最终选定了ti公司的msp430系列控制器,该系列控制器功耗极低,性能强大,成本也较低。2 msp430f单片机的主要特点msp430f系列是美国ti公司生产的一种超低功耗的flash控制器,该器件有“绿色”控制器(green mcu)之称,其技术特征代表了单片机的发展方向。msp430的片内存储器该器件单元是能耗非常低的单元,消耗功率仅为其它闪速微控制器的五分之一。msp430f同其它控制器相比,既可缩小线路板空间,又可降低系统成本。msp430f系列器件集成了超低功率闪存、高性能模拟电路和一个16位精简指令集(risc)cpu,且指令周期短,大部分指令可在一个指令周期内完成。该器件的工作电流极小,并且超低功耗,关断状态下的电流仅为0.1a,待机电流为0.8a,常规模式下的(250a1mips3v),端口漏电流不足50 na,并可零功耗掉电复位(bor)。另外,该芯片属低电器件,仅需1.83.6v电压供电,因而可有效降低系统功耗。由于其具有超低功耗的数控振荡器技术,因而可以实现频率调节和无晶振运行。其6s的快速启动时间可以延长待机时间并使启动更加迅速,同时也降低了电池的功耗。msp430系列芯片的片内资源丰富,io端口功能强大且十分灵活,所有的i/o位均可单独配置,每一根口线分别对应输入、输出、方向和功能选择等多个寄存器里的一位。因此,其温度模拟控制可以采用带隔离的低电压控制方式。 3超低功耗电子温度计硬件设计图1所示是本超低功耗电子温度计的硬件原理框图。下面给出其它单元电路的设计方案。3.1温度采集转换电路利用msp430来测量电阻,就可以通过斜率技术而不使用ad转换电路,处理起来简单易行。对于这种技术,可以使用msp430系列芯片上的比较器和时钟来完成斜率的ad转换。本系统的具体温度测量是应用电容充放电把被测电阻值转换成时间,再利用msp430内部的捕获比较寄存器准确捕捉时间,从而测量出热敏电阻的阻值,以间接获得温度值。其温度检测电路结构如图2所示。图中,rref是参考电阻,用于定标,rsens是被测电阻。系统工作时首先令msp430接rref的口置位,然后输出高电平vcc并通过标准电阻对电容定时充电,定时时间到后,端口复位,使电容放电,放电过程一直持续到电容上的电压降到充电端口为“0”电平的上限为止,截止时刻由timer_a内部的捕捉器通过捕捉入口ca0准确地捕捉。这一段放电时间可标记为tref。然后,对p2.1施以同样的操作,以获得电容通过被测电阻放电的时间tsens。最后比较tref和tsens,并由下式计算出被测电阻值:rsens=rreftmeastref式中,rsens为被测热敏电阻,tsens为被测组件放电时间,tref为参考组件放电时间,rref为参考精密电阻。由上式可以看出,只要电压和电容的值在测量中保持稳定,电压和电容的具体取值便不再重要,这是因为在比例测量原理中,这些因素在计算过程中已被消除。因此,尽管仪表的供电电池的电压具有离散性,并且该电压会随着时间的推移逐渐减小,但是,由于被测电阻值的测量与电源电压值的大小毫无关系,所以该测量方法具有电源电压自补偿特性。 3.2 lcd液晶驱动显示电路lcd显示电路可采用ht1621驱动,ht1621是128点内存映象和多功能的lcd驱动器。ht1621的软件配置特性使它适用于多种lcd应用场合,包括lcd模块和显示子系统。用于连接主控制器和ht1621的管脚只有4或5条。此外,ht1621还有一个节电命令用于降低系统功耗。用此lcd液晶驱动器可驱动4路公共端、13偏压比的4位液晶板。此驱动电路还具有待机功能。当系

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论