基于vhdl数字闹钟设计说明书.doc_第1页
基于vhdl数字闹钟设计说明书.doc_第2页
基于vhdl数字闹钟设计说明书.doc_第3页
基于vhdl数字闹钟设计说明书.doc_第4页
基于vhdl数字闹钟设计说明书.doc_第5页
已阅读5页,还剩41页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

毕毕 业业 设设 计计( (论论 文)文) 论论文文题题目:目: 基于基于 VHDL 的数字的数字闹钟设计闹钟设计 所属系部:所属系部: 指指导导老老师师: : 职职 称:称: 学生姓名:学生姓名: 班班级级、学号、学号: 专专 业业: : 2 毕业设计毕业设计( (论论文)任文)任务书务书 题题目:目: 基于 VHDL 的数字闹钟设计 任任务务与要求:与要求: 设计一个带闹钟功能的 24 小时计时器。 完成功能:1.计时功能:每隔 1 分钟计时 1 次,并在显示屏上显示当前时间。 2.闹钟功能: 如果当前时间与设置的闹钟时间相同,扬声器发出蜂鸣声。 时间时间: : 年年 月月 日日 至至 年年 月月 日日 共共 周周 所属系部:所属系部: 学生姓名:学生姓名: 学学 号:号: 专业专业: : 指指导单导单位或教研室:位或教研室: 指指导导教教师师: : 职职 称:称: 毕业设计毕业设计(论论文文)进进度度计计划表划表 3 日日 期期工工 作作 内内 容容执执 行行 情情 况况 指指导导教教师师 签签 字字 10 月 08 日 至 10 月 09 日 论文选题完成 10 月 10 日 至 10 月 17 日 查找并搜集论文材料完成 10 月 18 日 至 11 月 08 日 提交论文大纲给指导老师, 并进行修改 完成 9 月 10 日 至 10 月 10 日 拟定论文提纲及框架, 编辑论文正文内容 完成 10 月 11 日至 10 月 21 日 对论文进行排版,修正完成 10 月 22 日 至 12 月 14 日 提交论文给指导老师, 并进行修改 完成 12 月 15 日 打印论文,交论文初稿完成 教教师对进师对进 度度计计划划实实 施情况施情况总总 评评 签名 年 月 日 本表作评定学生平时成绩的依据之一。 基于基于 VHDLVHDL 的数字闹钟设计的数字闹钟设计 【摘要】 随着 EDA 技术的发展和应用领域的扩大与深入,EDA 技术在电子信息、通 4 信、自动控制及计算机应用领域的重要性日益突出。EDA 技术就是依赖功能强 大的计算机,在 EDA 工具软件平台上,对以硬件描述语言 VHDL 为系统逻辑描述 手段完成的设计文件,自动地完成逻辑优化和仿真测试,直至实现既定的电子 线路系统功能。本文介绍了基于 VHDL 硬件描述语言设计的多功能数字闹钟的思 路和技巧。在 Quartus 11 开发环境中编译和仿真了所设计的程序,并逐一调试 验证程序的运行状况。仿真和验证的结果表明,该设计方法切实可行,该数字 闹钟可以实现调时定时闹钟功能具有一定的实际应用性。 关键词:关键词:数字闹钟 FPGA VHDL Quartus II Abstract: With the EDA technology development and expansion of application fields and in-depth, EDA technology in the electronic information, communication, automatic control and computer applications of growing importance. EDA technology is dependent on a powerful computer, the software platform in the EDA tools for the hardware description language VHDL description for the system logic means completed design documents, automatically complete the test logic optimization and simulation, electronic circuit set up to achieve the system functionality. This article describes the VHDL hardware description language based on multi-function digital alarm clock design ideas and techniques. In the Quartus 11 compiler and development environment designed to simulate the process, and one by one to debug verification process operating conditions. Simulation and verification results show that the design method is feasible, digital alarm clock can adjust the time when the alarm clock to play music with some practical application. Key words: Alarm Clock FPGA VHDL Quartus II 目目 录录 1 1 选题背景选题背景 .6 1.1 选题研究内容6 1.2 课题研究功能 课题研究功能6 5 1.3 课题相关技术应用6 2 2 FPGAFPGA 简介简介 .8 2.1 FPGA 概述8 2.2 FPGA 编程原理8 2.3 FPGA 设计流程9 3 3 总体设计思想总体设计思想 .10 3.1 基本原理10 3.2 设计框图10 4 4 设计步骤和调试过程设计步骤和调试过程 .11 4.1 总体设计电路11 4.2 模块设计和相应模块程序12 4.3 仿真及仿真结果分析15 4.4 实验调试结果17 结束语结束语 .19 文文 献献 .20 1 1 选题背景选题背景 1.11.1 选题研究内容选题研究内容 设计一个 24 小时的闹钟,该闹钟由显示屏、数字键、TIME 键、ALARM 键、 扬声器组成。 闹钟总体系统包括如下几步分组成:用于键盘输入预置数字的 键盘缓冲器;用于时钟计数的计数器;用于保存闹钟时间的闹钟寄存器;用于 显示的七段数码 显示器及控制以上各个部分协同工作的闹钟控制器。 1.21.2 课题研究功能课题研究功能 课题研究功能课题研究功能 (1)显示屏,由 4 个七段数码管组成,用于显示当前时间(时:分)或设置 的闹钟时间; (1)数字键,实现09的输入,用于输入新的时间或新的闹钟时间; (2)TIME(时间)键,用于确定新的时间设置; (3)ALARM(闹钟)键,用于确定新的闹钟时间设置,或显示已设置的闹钟时 间; (4)扬声器,在当前时钟时间与闹钟时间相同时,发出蜂鸣声 完成功能 (1)计时功能:这是本计时器设计的基本功能,每隔一分钟计时一次,并在 显示屏上显示当前时间。 (2)闹钟功能:如果当前时间与设置的闹钟时间相同,则扬声器发出蜂鸣声。 (3)设置新的计时器时间:用户用数字键输入新的时间,然后按“TIME“键确 认。在输入过程中,输入数字在显示屏上从右到左依次显示。 1.31.3 课题相关技术应用课题相关技术应用 EDA 技术和硬件描述语言 VHDL 的基础知识,通过对工程实例的系统分析、 程 序设计和仿真,深入细致地讨论了它们在数字系统设计中的广泛应用。电子 设计 自动化(Electronic Design Automation,即 EDA)技术是指包括电路系统 设计、 系统仿真、设计综合、PCB 版图设计和制版的一整套自动化流程。随着 计算机、 集成电路和电子设计技术的高速发展, 技术已经渗透到百姓生活的 各个角落, EDA 日益成为电子信息类产品的支柱产业。 秒表、彩灯控制器、 抢答器、电梯控制器、出租车计费器、微波炉控制器、 FIR 滤波器、I2C 控制 7 器、DDS、序列检测器、自动售货机、函数发生器、调制解 调器和 UART 等 15 个数字系统的 VHDL 设计范例,给用户演示了数字电路的设计 方法和思路。 当今电子产品正向功能多元化,体积最小化,功耗最低化的方向发展。它与传 统 的电子产品在设计上的显著区别师大量使用大规模可编程逻辑器件,使产品的 性能提高,体积缩小,功耗降低.同时广泛运用现代计算机技术,提高产品的自 动化程度和竞争力,缩短研发周期。EDA 技术正是为了适应现代电子技术的要 求, 吸收众多学科最新科技成果而形成的一门新技术。 8 2 2 FPGAFPGA 简介简介 2.12.1 FPGAFPGA 概述概述 FPGA 是现场可编程门阵列(Field Programmable Gate Array)的简称, 与之相应的 CPLD 是复杂可编程逻辑器件(Complex Programmable Logic Device) 的简称,两者的功能基本相同,只是实现原理略有不同,所以有时可 以忽略这两 者的区别,统称为可编程逻辑器件或 CPLD/PGFA。CPLD/PGFA 几乎 能完成任何数 字器件的功能,上至高性能 CPU,下至简单的 74 电路。它如同 一张白纸或是一堆 积木,工程师可以通过传统的原理图输入或硬件描述语言自 由的设计一个数字系 统。 通过软件仿真可以事先验证设计的正确性, PCB 完 成以后, 在 利用 CPLD/FPGA 的在线修改功能,随时修改设计而不必改动硬件 电路。使用 CPLA/FPGA 开发数字 电路,可以大大缩短设计时间,减少 PCB 面 积,提高系统的可靠性。这些优点使 得 CPLA/FPGA 技术在 20 世纪 90 年代 以后得到飞速的发展, 同时也大大推动了 EDA 软件和硬件描述语言 HDL 的进 步。 2.1.1 FPGA 基本结构 FPGA 一般由 3 种可编程电路和一个用于存放编程 数据的静态存储器 SRAM 组 成。这 3 种可编程电路是:可编程逻辑模块 (CLB-Configurable Logic Block) 、 输入/输出模块(IOB-I/O Block)和 互连资源(IRInterconnect Resource) 。 可编程逻辑模块 CLB 是实现逻辑 功能的基本单元,它们通常规则的排列成一个阵 列,散布于整个芯片;可编程 输入/输出模块(IOB)主要完成芯片上的逻辑与外 部封装脚的接口,它通常排 列在芯片的四周;可编程互连资源包括各种长度的连 接线段和一些可编程连接 开关,它们将各个 CLB 之间或 CLB、IOB 之间以及 IOB 之间连接起来,构成 特定功能的电路。 2.22.2 FPGAFPGA 编程原理编程原理 硬件设计需要根据各种性能指标、成本、开发周期等因素,确定最佳的实 现 方案,画出系统框图,选择芯片,设计 PCB 并最终形成样机。 CPLD/FPGA 软件设计可分为两大块:编程语言和编程工具。编程语言主要有 VHDL 和 Verilog 两种硬件描述语言;编程工具主要是两大厂家 Altera 和 Xilinx 的 集成综合 EDA 软件(如 MAX+plusII、QuartusII、Foundation、ISE)以及第 三 方工具(如 FPGA Express、Modelsim、Synposys SVS 等) 。具体的设计输 入方 式有以下几种: 1.HDL 语言方式。HDL 既可以描述底层设计,也可以描 述顶层的设计,但它 不容易做到较高的工作速度和芯片利用率。用这种方式描 9 述的项目最后所能达到 的性能与设计人员的水平、经验以及综合软件有很大的 关系。 2.图形方式。可以分为电路原理图描述,状态机描述和波形描述 3 种 形式。 有的软件 3 种输入方法都支持,如 Active-HDL。MAX+plusII 图形输 入方式只支持电路原理图描述和波形描述两种。电路原理图方式描述比较直观 和高效,对综 合软件的要求不高。一般大都使用成熟的 IP 核和中小规模集成 电路所搭成的现 成电路,整体放到一片可编程逻辑器件的内部去,所以硬件工 作速度和芯片利用 率很高,但是但项目很大的时候,该方法就显得有些繁琐; 状态机描述主要用来 设计基于状态机思想的时序电路。在图形的方式下定义好 各个工作状态,然后在 各个状态上输入转换条件以及相应的输入输出,最后生 成 HDL 语言描述,送去综 合软件综合到可编程逻辑器件的内部。由于状态机 到 HDL 语言有一种标准的对应 描述方式,所以这种输入方式最后所能达到的 工作速度和芯片利用率主要取决于 综合软件;波形描述方式是基于真值表的一 种图形输入方式,直接描述输入与输 出的波形关系。这种输入方式最后所能达 到的工作速度和芯片利用率也是主要取 决于综合软件。 2.32.3 FPGAFPGA 设计流程设计流程 一般说来,一个比较大的完整的项目应该采用层次化的描述方法:分为几 个 较大的模块,定义好各功能模块之间的接口,然后各个模块再细分去具体实 现, 这就是 TOP DOWN(自顶向下)的设计方法。目前这种高层次的设计方法 已被广泛 采用。高层次设计只是定义系统的行为特征,可以不涉及实现工艺, 因此还可以 在厂家综合库的支持下,利用综合优化工具将高层次描述转换成针 对某种工艺优 化的网络表, 使工艺转化变得轻而易举。 10 3 3 总体设计思想总体设计思想 3.13.1 基本原理基本原理 数字闹钟电路的基本结构由两个 60 进制计数和一个 24 进制计数器组成, 分别对秒、分、小时进行计时,当计时到 23 时 59 分 59 秒时,再来一个计数脉 冲,则计数器清零,重新开始计时。秒计数器的技术时钟 CLK 为 HZ 的标准信号。 当数字闹钟处于计时状态时,秒计数器的进位输出信号作为分钟计数器的计数 信号,分钟计数器的进位输出信号又作为小时计数器的计数信号时、分、秒得 计时结果通过 6 个数码管来动态显示。因此,通过模式选择信号 KEY1、KEY2 控 制数字钟的工作状态,使其分别工作于正常计时,调整分、时和设定闹钟分、 时 5 个状态。当数字闹钟处于计时状态时,3 个计数器允许计数,且秒、分、 时计数器的计数时钟信号分别为 CLK,秒的进位,分的进位;当数字闹钟处于 闹钟定时状态时,可以设定小时和分;当计时到所设定的时刻时,驱动扬声器, 持续 1 分钟。 3.23.2 设计框图设计框图 系统框图主要分为三部分: 第一部分为精准秒脉冲产生电路,这里我们采用频率为 32.768KHz 的标准 晶振搭成精准的秒脉冲产生电路,为电子钟提供精准的秒脉冲输入。 第二部分为 FPGA 核心控制电路,主要由型号为 EP3C25E144C8N 的芯片经过 编程以后,向译码显示电路提供控制信号。 第三部分为译码显示电路,由 4 片 74LS47 驱动 4 个 7 段数码管,在核心控 制电路输出的控制信号的控制下,显示相应的时、分、秒。具体框图如下图 3- 1 所示。 精 准 秒 脉 冲 产 生 电 路 FPGA 核 心 控 制 电 路 译 码 显 示 电 路 图 3-1 系统框图 11 4 4 设计步骤和调试过程设计步骤和调试过程 4.14.1 总体设计电路总体设计电路 该数字钟可以实现 3 个功能:计时功能、定点报时功能和重置时间功能, 因此有 3 个子模块:计时、报时(speak) 、重置时间(sd1,sd2)。其中计时模 块有 4 部分构成:秒计时器(s1) 、分计时器(m1)、时计时器(h1)。秒计时器 (s1)是由一个 60 进制的计数器构成的。clk 为驱动秒计时器的时钟,s1 为秒 计时器的输出。分计时器(m1)是由一个 60 进制的计数器构成的,s1 为驱动 分计时器工作的时钟;m1 为分计时器的输出;时计时器(h1)是由一个 24 进 制的计数器构成的, m1 为驱动时计时器工作的时钟,h1 为时计时器的输出; 报时模块(speak)的功能是定时到时,speak 输出高电平,并且持续一段 时间。 (1) 秒脉冲产生电路 如下图 4-1 所示,由 32.768KHz 的晶振产生经过 CD4060 分频产生精准的 秒脉冲。 图 4-1 秒脉冲产生电路 (2) FPGA 核心控制电路 对 EP1K30TC144-3 进行编程,输出控制信号。 FPGA 控 制 芯片 EP1K30TC144-3 图 4-2 FPGA 核心控制电路 (3) 译码显示电路 如图 4-3,由 CD4511 驱动 7 段数码管进行显示。 12 图 4-3 译码显示电路 4.24.2 模块设计和相应模块程序模块设计和相应模块程序 (1)分计时器(second1) -分钟十位 m110:process(clk,min2,sec1,sec2,md1,md2) begin if clkevent and clk=1 then if (min1=“0101“ and min2=“1001“) and (sec1=“0101“ and sec2=“1001“) then min1=“0000“; elsif min1=“0101“and min2=“1001“and (md1=0 and md2=“00“)then min1=“0000“; elsif (min2=“1001“and (sec1=“0101“ and sec2=“1001“) or (min2=“1001“and md1=0 and md2=“00“) then min1=min1+1; end if; end if;-end if; end process m110; -分钟个位 m220:process(clk,sec1,sec2,md1,md2) begin if clkevent and clk=1 then 13 if min2=“1001“and (sec1=“0101“ and sec2=“1001“) then min2=“0000“; elsif min2=“1001“and (md1=0 and md2=“00“) then min2=“0000“; else if (sec1=“0101“ and sec2=“1001“) or(md1=0 and md2=“00“)then min2=min2+1; end if; end if;end if; end process m220; (2) 时计时器(hour1) -小时十位 h110:process(clk,hou2,min1,min2,sec1,sec2,md1,md2) begin if clkevent and clk=1 then if (hou1=“00010“ and hou2=“00011“)and(min1=“0101“ and min2=“1001“) and (sec1=“0101“ and sec2=“1001“) then hou1=“00000“; elsif hou1=“00010“and hou2=“00011“and md1=0 and md2=“01“ then -当时间为 23 点且处于校时状态时 hou1=“00000“; elsif (hou2=“01001“and(min1=“0101“ and min2=“1001“) and (sec1=“0101“ and sec2=“1001“)or (hou2=“01001“and md1=0 and md2=“01“) then hou1=hou1+1; end if; end if; end process h110; -小时个位 h220:process(clk,min1,min2,sec1,sec2,md1,md2,hou1) begin if clkevent and clk=1 then if (hou1=“00010“ and hou2=“00011“)and(min1=“0101“ and min2=“1001“) and (sec1=“0101“ and sec2=“1001“) then hou2=“00000“; 14 elsif hou2=“01001“and(min1=“0101“ and min2=“1001“) and (sec1=“0101“ and sec2=“1001“) then hou2=“0000“; elsif (hou2=“01001“and md1=0 and md2=“01“) or (hou1=“00010“and hou2=“00011“) then hou2=“00000“;-md=1; elsif (min1=“0101“ and min2=“1001“) and (sec1=“0101“ and sec2=“1001“) or (md1=0 and md2=“01“) then hou2=hou2+1;-speak=clk; end if; end if; end process h220; (3) 报时模块(speak) -闹铃 speaker:process(clk,hou1,hou2,min1,min2) begin if clkevent and clk=1then if seth1=hou1 and seth2=hou2 and setm1=min1 and setm2=min2 then speak=clk; else speak=0; end if; end if; end process speaker; disp:process(md1,hou1,hou2,min1,min2,sec1,sec2,seth1,seth2,setm1, setm2) begin if md1=0 then h1=hou1;h2=hou2; -计时时间显示和设置模式 m1=min1;m2=min2; s1=sec1;s2=sec2; else -闹铃时间现实和设置模式 h1=seth1;h2=seth2; m1=setm1;m2=setm2; s1=“1111“;s2=“1111“; end if; end process disp; end one; 15 4.34.3 仿真及仿真结果分析仿真及仿真结果分析 (1) 秒时钟仿真 如图 4-4,s2 满 10 进 1,s1 满 6 进一,即完成 60 进制秒钟计时。 图 4-4 秒时钟仿真 (2) 分时钟仿真 如图 4-5,m2 满 10 进 1,m1 满 6 进一,即完成 60 进制分钟计时。 图 4-5 分时钟仿真 (3) 报时仿真 为了便于观察,此处定时为 18 秒,如图 4-6speak 在 18 秒结束后被置高。 16 图 4-6 报时仿真 (4) 功能仿真 RTL 图 17 图 4-7 功能仿真 RTL 图 18 4.44.4 实验调试结果实验调试结果 在首次波形仿真时,遇到了一些困难,想要的结果不能在波形上得到正确的 显示:在设定输入的时钟信号后,数字钟开始计数,但是始终看不到小时、星期 的循环计数。后来,在同学的帮助下和数十次的调试之后,才发现之所以错误 是因为输入的时钟信号对于小时、星期来说太短了。经过屡次调试,终于找到 了比较合适的输入数值:分钟的初始值可以设为 57(58、59 都可以) ,小时的 初始值可以设为 23,这样,仿真之后,就能清楚的看出分钟、小时的循环计数。 另外,Endtime 的值需要设置的长一点:10us 左右,输入的时钟周期值要设置 的短一点:5ns 左右。 19 结束语结束语 通过这次设计使我懂得了理论与实际联合是很重要的,只有把所学的理论 与实践相结合起来,从理论中得出结论才能提高自己的实际动手能力,进一步 加深了对 EDA 的了解,让我对它有了更加浓厚的兴趣。 同时也遇到了不少困难,特别是各元件之间的连接,以及信号的定义,总 是出现错误,不过在细心的检查下,终于找出了错误和警告。总的来说,这次 设计的数字钟还是让我学到不少东西,有点小小的成就感,终于觉得平时所学 的知识有了实用的价值,达到了理论与实际相结合的目的,不仅学到了不少知 识,而且锻炼了自己的能力,对今后的学习有了更充满了信心。 20 文文 献献 1 潘松著.EDA 技术实用教程(第二版). 北京:科学出版社,2005. 2 康华光主编.电子技术基础 模拟部分. 北京:高教出版社,2006. 3 阎石主编.数字电子技术基础. 北京:高教出版社,2003. 4 阎石主编 . 数字电子技术基础 (第五版).高等教等育出版社 .2006.5,168-175页 ; 5李国丽、朱维勇、何剑春主编 EDA与数字系统设计 (第2版).机械工业出版社 . 2009.3,105-146页 14 大学本科生毕业设计(论文)撰写规范 本科生毕业设计(论文)是学生在毕业前提交的一份具有一定研究价值和实用 价值的学术资料。它既是本科学生开始从事工程设计、科学实验和科学研究的初步 尝试,也是学生在教师的指导下,对所进行研究的适当表述,还是学生毕业及学位 资格认定的重要依据。毕业论文撰写是本科生培养过程中的基本训练环节之一,应 符合国家及各专业部门制定的有关标准,符合汉语语法规范。指导教师应加强指导, 严格把关。 1、论文结构及要求 论文包括题目、中文摘要、外文摘要、目录、正文、参考文献、致谢和附录等 几部分。 1.1 题目 论文题目应恰当、准确地反映论文的主要研究内容。不应超过 25 字,原则上 不得使用标点符号,不设副标题。 1.2 摘要与关键词 1.2.1 摘要 本科生毕业设计(论文)的摘要均要求用中、英两种文字给出,中文在前。 摘要应扼要叙述论文的研究目的、研究方法、研究内容和主要结果或结论,文 字要精炼,具有一定的独立性和完整性,摘要一般应在 300 字左右。摘要中不宜使 用公式、图表,不标注引用文献编号,避免将摘要写成目录式的内容介绍。 1.2.2 关键词 关键词是供检索用的主题词条,应采用能覆盖论文主要内容的通用技术词条 (参照相应的技术术语标准) ,一般列 35 个,按词条的外延层次从大到小排列, 应在摘要中出现。 1.3 目录 目录应独立成页,包括论文中全部章、节的标题及页码。 15 1.4 论文正文 论文正文包括绪论、论文主体及结论等部分。 1.4.1 绪论 绪论一般作为论文的首篇。绪论应说明选题的背景、目的和意义,国内外文献 综述以及论文所要研究的主要内容。 文管类论文的绪论是毕业论文的开头部分,一般包括说明论文写作的目的与意 义,对所研究问题的认识以及提出问题。绪论只是文章的开头,不必写章号。 毕业设计(论文)绪论部分字数不多于全部论文字数的 1/4。 1.4.2 论文主体 论文主体是论文的主要部分,要求结构合理,层次清楚,重点突出,文字简练、通顺。 论文主体的内容要求参照大学本科生毕业设计(论文)的规定第五章。 论文主体各章后应有一节“本章小结” 。 1.4.3 结论 结论作为单独一章排列,但不加章号。 结论是对整个论文主要成果的归纳,要突出设计(论文)的创新点,以简练的 文字对论文的主要工作进行评价,一般为 4001 000 字。 1.5 参考文献 参考文献是论文不可缺少的组成部分,它反映了论文的取材来源和广博程度。 论文中要注重引用近期发表的与论文工作直接有关的学术期刊类文献。对理工类论 文,参考文献数量一般应在 15 篇以上,其中学术期刊类文献不少于 8 篇,外文文 献不少于 3 篇;对文科类、管理类论文,参考文献数量一般为 1020 篇,其中学 术期刊类文献不少于 8 篇,外文文献不少于 3 篇。 在论文正文中必须有参考文献的编号,参考文献的序号应按在正文中出现的顺 序排列。 产品说明书、各类标准、各种报纸上刊登的文章及未公开发表的研究报告(著 名的内部报告如 PB、AD 报告及著名大公司的企业技术报告等除外)不宜做为参考 文献引用。但对于工程设计类论文,各种标准、规范和手册可作为参考文献。 引用网上参考文献时,应注明该文献的准确网页地址,网上参考文献不包含在 上述规定的文献数量之内。 16 1.6 致谢 对导师和给予指导或协助完成论文工作的组织和个人表示感谢。内容应简洁明 了、实事求是,避免俗套。 1.7 附录 如开题报告、文献综述、外文译文及外文文献复印件、公式的推导、程序流程图、图 纸、数据表格等有些不宜放在正文中,但有参考价值的内容可编入论文的附录中。 2、论文书写规定 2.1 论文正文字数 理工类 论文正文字数不少于 20 000 字。 文管类 论文正文字数 12 00020 000 字。其中汉语言文学专业不少于 7 000 字。 外语类 论文正文字数 8 00010 000 个外文单词。 艺术类 论文正文字数 3 0005 000 字。 2.2 论文书写 本科生毕业论文用 B5 纸计算机排版、编辑与双面打印输出。 论文版面设置为:毕业论文 B5 纸、纵向、为横排、不分栏,上下页边距分别为 2.5cm 和 2cm,左右页边距分别为 2.4cm 和 2cm,对称页边距、左侧装订并装订线为 0cm、奇偶 页不同、无网格。论文正文满页为 29 行,每行 33 个字,字号为小四号宋体,每页版面字 数为 957 个,行间距为固定值 20 磅。 页眉。页眉应居中置于页面上部。单数页眉的文字为“章及标题” ;双数页眉的文字为 “大学本科生毕业设计(论文) ” 。页眉的文字用五号宋体,页眉文字下面为 2 条横线(两 条横线的长度与版芯尺寸相同,线粗 0.5 磅) 。页眉、页脚边距分别为 1.8cm 和 1.7cm。 页码。页码用小五号字,居中标于页面底部。摘要、目录等文前部分的页码用罗马数 字单独编排,正文以后的页码用阿拉伯数字编排。 2.3 摘要 中文摘要一般为 300 字左右,外文摘要应与中文摘要内容相同,在语法、用词和书写 上应正确无误,摘要页勿需写出论文题目。中、外文摘要应各占一页,编排装订时放置正 文前,并且中文在前,外文在后。 2.4 目录 目录应包括论文中全部章节的标题及页码,含中、外文摘要;正文章、节题目; 参考文献;致谢;附录。 正文章、节题目(理工类要求编写到第 3 级标题,即.。文科、管理类可视论 文需要进行,编写到 23 级标题。 ) 2.5 论文正文 2.5.1 章节及各章标题 论文正文分章、节撰写,每章应另起一页。 各章标题要突出重点、简明扼要。字数一般在 15 字以内,不得使用标点符号。标题中 尽量不用英文缩写词,对必须采用者,应使用本行业的通用缩写词。 18 2.5.2 层次 层次以少为宜,根据实际需要选择。层次代号格式见表 1 和表 2。 表 1 理工类论文层次代号及说明 层次名称示 例说 明 章第 1 章 章序及章名居中排,章 序用阿拉伯数字 节1.1 条 1.1.1 题序顶格书写,与标 题间空 1 字,下面阐 述内容另起一段 款 1.1.1.1 题序顶格书写,与标题 间空 1 字,下面阐述内 容在标题后空 1 字接排 项 (1) 题序空2 字书写,以下 内容接排,有标题者, 阐述内容在标题后空1 字 版心左边线 版心右边线 表 2 文管类论文层次代号及说明 章 节 条 款 项 一、 (一) 1. (1) 居中书写 空 2 字书写 空 2 字书写 空 2 字书写 空 2 字书写 版心左边线 版心右边线 各层次题序及标题不得置于页面的最后一行(孤行) 。 2.6 参考文献 正文中引用文献标示应置于所引内容最末句的右上角,用小五号字体。所引文献编号 用阿拉伯数字置于方括号“ ”中,如“二次铣削1” 。当提及的参考文献为文中直接说 明时,其序号应该与正文排齐,如“由文献8,1014可知” 。 经济、管理类论文引用文献,若引用的是原话,要加引号,一般写在段中;若引的不 是原文只是原意,文前只需用冒号或逗号,而不用引号。在参考文献之外,若有注释的话, 建议采用夹注,即紧接文句,用圆括号标明。 不得将引用文献标示置于各级标题处。 19 参考文献书写格式应符合 GB77141987文后参考文献著录规则 。常用参考文献编 写项目和顺序应按文中引用先后次序规定如下: 著作图书文献 序号作者书名(版次) 出版地:出版者,出版年:引用部分起止页 第一版应省略 翻译图书文献 序号作者书名(版次) 译者出版地: 出版者,出版年:引用部分起止页 第一版应省略 学术刊物文献 序号作者文章名学术刊物名年,卷(期):引用部分起止页 学术会议文献 序号作者文章名编者名会议名称,会议地址,年份出版地,出版者, 出版年:引用部分起止页 学位论文类参考文献 序号研究生名学位论文题目出版地学校(或研究单位)及学位论文级别答 辩年份:引用部分起止页 西文文献中第一个词和每个实词的第一个字母大写,余者小写;俄文文献名第一个词 和专有名词的第一个字母大写,余者小写;日文文献中的汉字须用日文汉字,不得用中文 汉字、简化汉字代替。文献中的外文字母一律用正体。 作者为多人时,一般只列出前 3 名作者,不同作者姓名间用逗号相隔。外文姓名按国 际惯例,将作者名的缩写置前,作者姓置后。 学术会议若出版论文集者,可在会议名称后加上“论文集”字样。未出版论文集者省 去“出版者” 、 “出版年”两项。会议地址与出版地相同者省略“出版地” 。会议年份与出版 年相同者省略“出版年” 。 学术刊物文献无卷号的可略去此项,直接写“年, (期) ” 。 参考文献序号顶格书写,不加括号与标点,其后空一格写作者名。序号应按文献在论 文中的被引用顺序编排。换行时与作者名第一个字对齐。若同一文献中有多处被引用,则 要写出相应引用页码,各起止页码间空一格,排列按引用顺序,不按页码顺序。 参考文献书写格式示例见附录 1。 2.7 名词术语 科技名词术语及设备、元件的名称,应采用国家标准或部颁标准中规定的术语或名称。 标准中未规定的术语要采用行业通用术语或名称。全文名词术语必须统一。一些特殊名词 或新名词应在适当位置加以说明或注解。 文管类专业技术术语应为常见、常用的名词。 采用英语缩写词时,除本行业广泛应用的通用缩写词外,文中第一次出现的缩写词应 该用括号注明英文全文。 2.8 计量单位 20 物理量计量单位及符号一律采用中华人民共和国法定计量单位 (GB31003102 1993,见附录 2) ,不得使用非法定计量单位及符号。计量单位符号,除用人名命名的单位 第一个字母用大写之外,一律用小写字母。 非物理单位(如件、台、人、元、次等)可以采用汉字与单位符号混写的方式,如 “万 tkm” , “t/(人a) ”等。 文稿叙述中不定数字之后允许用中文计量单位符号,如“几千克至 1 000kg” 。 表达时刻时应采用中文计量单位,如“上午 8 点 45 分” ,不能写成“8h45min” 。 计量单位符号一律用正体。 2.9 外文字母的正、斜体用法 按照 GB310031021986 及 GB71591987 的规定使用,即物理量符号、物理常量、 变量符号用斜体,计量单位等符号均用正体。 2.10 数字 按国家语言文字工作委员会等七单位 1987 年发布的关于出版物上数字用法的规定 , 除习惯用中文数字表示的以外,一般均采用阿拉伯数字(参照附录 3) 。 2.11 公式 原则上居中书写。若公式前有文字(如“解” 、 “假定”等) ,文字顶格书写,公式仍居 中写。公式末不加标点。 公式序号按章编排,如第 1 章第一个公式序号为“(1-1) ” ,附录 2 中的第一个公式为 (-1)等。 文中引用公式时,一般用“见式(1-1) ”或“由公式(1-1) ” 。 公式中用斜线表示“除”的关系时,若分母部分为乘积应采用括号,以免含糊不清, 如a/(bcosx)。通常“乘”的关系在前,如acosx/b而不写(a/b)cosx。 2.12 插表 表格不加左、右边线。 表序一般按章编排,如第 1 章第一个插表的序号为“表 11”等。表序与表名之间空 一格,表名中不允许使用标点符号,表名后不加标点。表序与表名置于表上,居中排写 (见附录 4) 。 表头设计应简单明了,尽量不用斜线。表头中可采用化学符号或物理量符号。 全表如用同一单位,将单位符号移到表头右上角,加圆括号(见附录 4 中的例 2) 。 表中数据应正确无误,书写清楚。数字空缺的格内加“”字线(占 2 个数字宽度) 。 表内文字和数字上、下或左、右相同时,不允许用“” 、 “同上”之类的写法,可采用通 栏处理方式(见附录 4 中的例 2) 。 表内文字说明不加标点。 文管类的插表在表下一般根据需要可增列补充材料、注解、附记、资料来源、某些指 标的计算方法等。 21 表内文字说明,起行空一格,转行顶格,句末不加标点。表题用五号字,表内文字及 表的说明文字均用五号字,中文用宋体。 表格容量较大,必要时表格也可分为两段或多段(这只能发生在转页时) ,转页分段后 的每一续表的表头都应重新排字,重排表头的续表上方右侧应注明(续表)字样。 2.13 插图 插图应与文字紧密配合,文图相符,技术内容正确。 2.13.1 制图标准 插图应符合技术制图及相应专业制图的规定。 机械工程图:采用第一角投影法,应符合附录 5 所列有关标准的规定。 电气图:图形符号、文字符号等应符合附录 6 所列有关标准的规定。 流程图:符合国家标准。 对无规定符号的图形应采用该行业的常用画法。 2.13.2 图题及图中说明 每个图均应有图题(由图号和图名组成) 。图号按章编排,如第 1 章第一图的图号为 “图 1-1”等。图题置于图下。有图注或其他说明时应置于图题之上。图名在图号之后空 一格排写。引用图应说明出处,在图题右上角加引用文献编号。图中若有分图时,分图号 用 a)、b)等置于分图之下。 图中各部分说明应采用中文(引用的外文图除外)或数字项号,各项文字说明置于图 题之上(有分图题者,置于分图题之上) 。 图题用五号字,图内文字及说明均用五号字,中文用宋体。 2.13.3 插图编排 插图与其图题为一个整体,不得拆开排写于两页。插图应编排在正文提及之后,插图 处的该页空白不够排写该图整体时,则可将其后文字部分提前排写,将图移到次页最前面。 2.13.4 坐标单位 有数字标注的坐标图,除无单位者(如标示值)之外,必须注明坐标单位。 2.13.5 论文中照片图及插图 毕业论文中的照片图均应是原版照片粘贴(或数码像机图片) ,照片可为黑白或彩色, 应主题突出、层次分明、清晰整洁、反差适中。照片采用光面相纸,不宜用布纹相纸。对 金相显微组织照片必须注明放大倍数。 毕业论文中的插图不得采用复印件。对于复杂的引用图,可采用数字化仪表输入计算 机打印出来的图稿。 2.14 附录 22 理工类论文附录的序号采用“附录 1” 、 “附录 2”等,附录顺序为开题报告、文献综述、 外文文献的中文译文及外文复印件等。 文管类论文附录序号相应采用“附录一” 、 “附录二”等。 3、论文排版要求 3.1 纸张要求及页面设置 名称格式要求 纸张B5(182257) ,幅面白色 页面 设置 上下页边距 2.5cm 和 2cm,左右页边距 2.4 cm 和 2cm,页眉、页脚分别为 1.8cm 和 1.7cm,对称页边距、左侧装订并装订线为 0cm、奇偶页不同、无网格 页眉宋体字五号居中 页码宋体字小五号居中 3.2 封面(详见模版、B5 纸单面打印) 名称格式要求 本科毕业设计 /论文宋体字小二号,行距固定值 25磅 ,间距段前、段后分别为 0.5行,要求字体居中 论文题目 黑体字二号,行距固定值 25 磅,间距段前、段后分别为 0.5 行。论文题目中 文字数不得超过 25 字,要求字体居中 填写姓名宋体字小三号 , 行距固定值20磅 , 间距段前、段后分别为 0.5行,要求字体居中 大学 楷体字小二号,行距固定值 20 磅, 间距段前、段后分别为 0.5 行。每字间 空 1 格,要求字体居中 年 月 宋体字小三号,行距固定值 20 磅, 间距段前、段后分别为 0.5 行。数字用 阿拉伯数字,日期为论文提交日期,要求字体居中 3.3 封面 2(详见模版、B5 纸单面打印) 名称格式要求 本科毕业设计/论文 宋体字小二号,行距固定值 25 磅,间距段前、段后分别为 0.5 行,要求 字体居中 论文题目 黑体字二号,行距固定值 25 磅,间距段前、段后分别为 0.5 行。论文题 目中文字数不得超过 25 字,要求字体居中 学院(系)宋体字四号,行距固定值20 磅,间距段前、段后分别为0.5行,字体左对齐 专业同上 学生姓名同上 学号同上 指导教师同上 答辩日期同上 3.4 本科毕业设计/论文 任务书(单面打印) 本科毕业设计/论文B5 纸,单面打印,不编页码 23 3.5 中、英文摘要 名称中文摘要英文摘要 标题 摘要:黑体字小二居中,行距固定值 20 磅,间距段前、段后分别为 1 行 Abstract: Times New Roman 体小二号居中, 行距固定值 20 磅,间距段前、段后分别为 1 行 段落 文字 宋体字小四号,行距固定值 20 磅Times New Roman体小四号,行距固定值 20磅 关键词同上, “关键词”三字加粗同上, “Key Words”两词加粗 页码 罗马大写数字, Times New Roman体小五号 字 罗马大写数字,Times New Roman 体小五号字 3.6 目录 名称示例格式要求 标题目录黑体字小二号居中,行距固定值 20 磅,间距段前、段后分别

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论