EDA课程设计--直流电机测控仪.doc_第1页
EDA课程设计--直流电机测控仪.doc_第2页
EDA课程设计--直流电机测控仪.doc_第3页
EDA课程设计--直流电机测控仪.doc_第4页
EDA课程设计--直流电机测控仪.doc_第5页
已阅读5页,还剩47页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

直流电机测控仪1 绪论1.1 FPGA背景目前以硬件描述语言(Verilog或VHDL)描述的逻辑电路,可以利用逻辑综合和布局、布线工具软件,快速地烧录至FPGA上进行测试,这一过程是现代集成电路设计验证的技术主流。这些可编程逻辑元件可以被用来实现一些基本的逻辑门数字电路(比如与门、或门、异或门、非门)或者更复杂一些的组合逻辑功能,比如译码器等。在大多数的FPGA里面,这些可编辑的元件里也包含记忆元件,例如触发器(Flipflop)或者其他更加完整的记忆块,从而构成时序逻辑电路。系统设计师可以根据需要,通过可编辑的连接,把FPGA内部的逻辑块连接起来。这就好像一个电路试验板被放在了一个芯片里。一个出厂后的成品FPGA的逻辑块和连接可以按照设计者的需要而改变,所以FPGA可以完成所需要的逻辑功能。FPGA一般来说比专用集成电路(ASIC)的速度要慢,无法完成更复杂的设计,并且会消耗更多的电能。但是,FPGA具有很多优点,比如可以快速成品,而且其内部逻辑可以被设计者反复修改,从而改正程序中的错误,此外,使用FPGA进行除错成本较低。厂商也可能会提供便宜、但是编辑能力有限的FPGA产品。因为这些芯片有的可编辑能力较差,所以这些设计的开发是在普通的FPGA上完成的,然后将设计转移到一个类似于专用集成电路的芯片上。在一些技术更新比较快的行业,FPGA几乎是电子系统中的必要部件,因为在大批量供货前,必须迅速抢占市场,这时FPGA方便灵活的优势就显得很重要。1.2 FPGA发展前景通常来说半导体产业是周期性行业,其周期一般为4到5年。但是随着新技术和应用的快速发展,现今半导体周期越来越短,且每一个周期都有典型应用作为拉动点,比如过去的PC、后来的通信行业。FPGA也明显符合这种规律。但不同的是,当ASIC和ASSP萧条的时候,往往迎来FPGA的大发展。2008年以来的金融危机使得半导体行业平均跌幅大于10%,但是市场数据却显示FPGA行业依然强劲增长。危机和低迷使ASIC和ASSP制造者为谨慎起见,不敢贸然推出新产品,避免巨大的NRE费用。而FPGA恰好能迎合这一需求。当今,半导体市场格局已成三足鼎立之势,FPGA,ASIC和ASSP三分天下。市场统计数据表明,FPGA已经逐步侵蚀ASIC和ASSP的传统市场,并处于快速增长阶段。在全球市场中,Xilinx、Altera两大公司对FPGA的技术与市场仍然占据绝对垄断地位。两家公司占有将近90%市场份额,专利达6000余项之多,而且这种垄断仍在加强。同时,美国政府对我国的FPGA产品与技术出口进行苛刻的审核和禁运,使得国家在航天、航空乃至国家安全领域都受到严重制约。因此,研发具有自主知识产权的FPGA技术与产品对打破美国企业和政府结合构成的垄断,及国家利益意义深远。作为一种可编程逻辑器件,FPGA在短短二十多年中从电子设计的外围器件逐渐演变为数字系统的核心。伴随半导体工艺技术的进步,FPGA器件的设计技术取得了飞跃发展及突破。通过FPGA器件的发展历程来看,今后仍将朝下以下几个方向发展: 高密度、高速度、宽频带、高保密; 低电压、低功耗、低成本、低价格; IP软/硬核复用、系统集成; 动态可重构以及单片集群; 紧密结合应用需求,多元化发展。此外,集成了FPGA 架构、硬核CPU 子系统(ARM/MIPS/MCU)及其他硬核IP 的芯片已经发展到了一个“关键点”,它将在今后数十年中得到广泛应用,为系统设计人员提供更多的选择。例如,以应用为导向,在受专利保护的FPGA平台架构上无缝集成特定功能模块,以形成具备行业竞争优势(高性价比)的独特产品。Altera、Cypress半导体、Intel和Xilinx公司等供应商相继在最近一年发布或者开始发售SoC FPGA器件。在FPGA领域,Xilinx和Altera长期稳坐第一第二的位置。根据最新Form-10K数据显示,其分别占有48%和41%的市场份额。其中Xilinx净销售额为23.1亿美元,净收入为6.3亿美元;Altera净销售额为19.5亿美元,净收入为7.8亿美元。这两家公司一直以来是市场和技术的领头羊,而剩余的市场份额被Lattice占据多数。为了在竞争中占据主动,Xilinx与Altera新近分别宣布其下一代FPGA产品都将采用高k金属栅技术的 28nm工艺,以满足诸如云计算、移动互联网和3G应用等领域所不断增长的带宽需求。由于PLD器件采用更高技术的工艺节点制造,无疑可以降低成本、提升性能,尤其是能够改进一直以来为ASIC所诟病的功耗水平,以适应更广阔的设计应用。Xilinx和Altera虽然控制世界将近90%的FPGA市场,但是他们的产品是大多以纯FPGA为主。“平台化”已成为FPGA一个发展趋势,尽管Xilinx和Altera在FPGA“平台化”方面在最近几年也有涉及,但概念和特点比较简单,没有完全形成气候。权威市场调研机构Gartner 2010年初的预测数据表明,FPGA正处于一个加速增长的市场势态中。未来5年,市场平均增长幅度超过12.6%,这种增长幅度远大于ASIC和ASSP市场。同时,市场数据表明其行业平均毛利大于60%。FPGA行业需要更大的市场规模,以吸引更多的使用者。预计未来5年,随着产量增加,成本进一步降低,FPGA市场份额将会持续增大。1.3 课程设计任务在本课程设计中使用Altera公司的EP2C35系列的FPGA芯片,利用SOPC-NIOSII-EP2C35开发板设计一个直流电机测控仪控制和测量开发板上的直流电机,设计模块要求能产生可调占空比的PWM波以对直流电机进行速度控制,并能通过开发板上直流电机模块的霍尔器件反馈的信息,计算直流电机转速,并显示电机的信息。(1)基本技能掌握:1 掌握时钟作用下频率的控制2 掌握十进制计数器的设计3 掌握16位的锁存器的设计4 掌握显示译码功能的设计(2)基本功能要求1 利用旋转电位器实现转速的控制2 利用PWM信号实现转速的控制(3)扩展功能选择性要求1 利用44键盘阵列实现键盘转速的控制2 利用16*16点阵显示实现转速的显示2 设计原理2.1 直流电机测控总原理直流电机测控仪总体设计硬件由图2.1所示,FPGA为Altera公司的EP2C35系列,输入设备有时钟、4*4键盘、霍尔器件。时钟采用1MHZ,4*4键盘给FPGA输入一个4位数组信号,霍尔器件输入计数脉冲。输出设备有直流电机、16*16点阵LED,直流电机可采用直接由旋钮控制转速或者PWM控制转速,16*16点阵LED显示每分钟转速以及设计者名字。霍尔器件直流电机16*16点阵LED4*4键盘FPGA时钟1MHZ图2.1总体设计硬件框架图2.2 直流电机与霍尔器件工作原理直流电机是我们生活当中常用的一种电子设备。其内部结构如下图2.2所示:图2.2直流电机结构图下面就上图来说明直流电机的工作原理。将直流电源通过电刷接通电枢绕组,使电枢导体有电流流过, 由于电磁作用,这样电枢导体将会产生磁场。同时产生的磁场与主磁极的的磁场产生电磁力,这个电磁力作用于转子,使转子以一定的速度开始旋转。这样电机就开始工作。为了能够测定出电机在单位时间内转子旋转了多少个周期,我们在电机的外部电路中加入了一个开关型的霍尔原件(44E),同时在电子转子上的转盘上加入了一个能够使霍尔原件产生输出的带有磁场的磁钢片。当电机旋转时,带动转盘是的磁钢片一起旋转,当磁钢片旋转到霍尔器件的上方时,可以导致霍尔器件的输出端高电平变为低电平。当磁钢片转过霍尔器件上方后,霍尔器件的输出端又恢复高电平输出。这样电机每旋转一周,则会使霍尔器件的输出端产生一个低脉冲,我们就可以通过检测单位时间内霍尔器件输出端低脉冲的个数来推算出直流电机在单位时间内的转速。直流电机和开关型霍尔器件的电路原理图如下图2.3所示:图2.3直流电机、霍尔器件电路图直流电机驱动有两种方式,其一是可以由模拟电平来驱动,把电路图上4与3短接,可以旋转实验箱左边的旋钮,调节旋钮的可以控制速度;其二是通过PWM控制,把电路图的6与5短接,PWM信号高电位选通三极管,让直流电机转动,不过为了让直流电机获得较大的转速,同样要把电机左边的旋钮调到比较大的位置。2.3 4*4键盘驱动对键盘的电位扫描,就可以确定当前的键有没有被按下。单个按键电路如图2.4所示,在按键没有被按下时,在判断电位点可以获取高电位,在键被按下时,在判断电位为低电位,这样可以通过判断点电位的高低即可确定按键有没有被按下。图2.4单个按键电路图4*4的键盘与FPGA连接图如下图2.5所示,扫描键盘由FPGA的8个控制端口确定,控制行端口设置为buffer模式,控制列端口设置为in模式,扫描键盘的方法为先给第一行键盘为低电平,其余行列为高电平,读取纵列的电位值,如果没键被按下,在电位判断端口获取的为高电位,如果有键按下,电位判断点的电平不全为零,由电位值的第几位为零就可以确定当前行那个键被按下,依次对四行键盘扫描,扫描结束输出4位数组的信号,以告诉那个键被按下。图2.5 4*4矩阵键盘电路原理图2.4 16*16点LED阵列驱动16*16点阵由此256个LED通过排列组合而形成16行*16列的一个矩阵式的LED阵列,俗称16*16点阵。单个的LED的电路如下图20-1所示:图2.6单个LED电路图由上图可知,对于单个LED的电路图当Rn输入一个高电平,同时Cn输入一个低电平时,电路形成一个回路,LED发光。也就是LED点阵对应的这个点被点亮。16*16点阵也就是由16行和16列的LED组成,其中每一行的所有16个LED的Rn端并联在一起,每一列的所有16个LED的Cn端并联在一起。通过给Rn输入一个高电平,也就相当于给这一列所有LED输入了一个高电平,这时只要某个LED的Cn端输入一个低电平时,对应的LED就会被点亮。具体的电路如下图2.7所示:图2.7 16*16点阵电路原理图16*16点阵LED驱动时,依次选通LED点阵行端口,每次只能选通一个端口(Cn),字符译码的第N列结果在列端口(Rn)输入,通过高速依次点亮led点阵就可看到led点阵上显示的数字和文字。2.5 PWM控制原理PWM控制就是对脉冲的宽度进行调制的技术。即通过对一系列脉冲的宽度进行调制来等效地获得所需要的波形。 如下图2.8所示,设定值计数器设置PWM信号的占空比。当UD=1,输入CLK2,使设定值计数值的输出值增加,PWM的占空比增加,电机转速加快;当UD=,输入CLK2使设定值计算器的输出值减小,PWM的占空比减小,电机转速变慢。在CLK的作用下,锯齿波计数器输出周期性线性增加的锯齿波。当计数值小于设定值时,数字比较器输出低电平;当计数值大于设定值时,数字比较器输出高电平,由此产生周期性的PWM波形。旋转反向控制电路控制直流电动机转向和启/停,该电路由两个选多路选择器组成,ZF键控制选择PWM波形从正端Z进入H桥,还是从负端进入H桥,以控制电机的旋转方向。START键通过“与”门控制PWM的输出,实现对电机的工作/停止控制。桥电路由大功率晶体管组成,PWM波形通过方向控制电路送到H桥,经功率放大以后驱动电机转动。图2.8 PWM控制电路原理图3 程序设计3.1 总体程序设计思路程序总体设计分为时钟控制信号模块、十进制计数模块、显示模块、键盘模块、pwm控制模块、十六位锁存模块。设计思路为十进制计数器对霍尔器件的脉冲数计数,时钟控制在到了六秒时将计数值锁存到16位锁存器,显示模块的点阵LED显示锁存器所锁存的值以及设计者名字,同时键盘模块送出键盘所按得键值控制直流电机转速的增减。3.2 时钟控制信号程序设计时钟控制信号中要为下级锁存模块提供锁存控制型号,同时为计数模块提使能和清零信号。设计当中由Cnttemp对1MHZ的上升缘计数来产生1HZ的信号(Cnttemp5000送出低电平),Count对秒信号计数,锁存控制信号在第六秒结束时送出,清零信号在第7秒送出,所以要先产生秒脉冲。 Enal=1Clr=0Load=0Enal=0Clr=0Load=1Enal=0Clr=1Load=0Count与6比较Clk1hz=1Clk1hz=1Cnttemp50000Cnttemp当前计数是否到了99999Cnttemp=0Cnttemp+1 1M的时钟 是否小于等于大于 输出 图3.1时钟控制信号流程图注:Enal为输出控制计数器的使能信号。Clr为输出控制计数器的清零信号。Load为输出控制锁存器的锁存信号。图3.2 时钟控制信号模块3.3 十进制计数器程序设计设计当中要显示当前直流电机的转速,因而要4位十进制的计数器,对转速脉冲计数。设计当中只需要设计一位十进制的计数器,设计当中特别注意对进位脉冲的处理。设计流程如下:输出计数值输出进位脉冲Count=”1001”Count=count+1Coun=“0000”Clr=0ena=1 计数脉冲是否图3.3 十进制计数器程序设计流程图注:CLR为外部输入的清零信号。 ENA为外部输入的计数使能信号。十进制计数器对计数脉冲计数,当计数值到了9时,计数值重新到零,同时在归零的同时输出进位脉冲。图3.4十进制计数模块3.4 锁存模块程序设计16位锁存模块设计较为简单,只有在控制信号为高电平时锁存当前输入的信号值,在低电平时保持锁存被锁存的输入信号。Load=1OUT=DINDIN=DINDIN=IN是否图3.5 16位锁存器设计流程注:IN为16位输入信号,OUT为16位输出信号,LOAD为输入锁存信号。信号DIN对IN信号进行保存,只有在LOAD=1时才把IN信号保存到DIN,DIN再传递给OUT。图3.6 16位锁存模块3.5 PWM控制信号程序设计PWM控制信号为驱动直流电机转速的使能信号,PWM控制由四个输入信号控制pwm的占空比,本设计当中最高为15/16,由控制信号”1111”获得,最低为0,由控制信号”0000”获得。流程如:时钟1MHZCount=0cycle =16000是PWM=1PWM=0cycle +1;cycle =key*1000否输出pwm控制信号图3.7 PWM控制流程图注:KEY为输入数据大小CYCLE对时钟从0到16000循环计数,当CYCLE=0时把输出电平置为高电平,CYCLE=输入值*1000时把输出电平置为低电平,这样就可以通过外部输入控制占空比,从而控制直流电机的转速。图3.8 PWM控制模块3.6 键盘扫描程序设计PWM控制直流电机是通过扫描键盘的按键来控制方波的占空比,所按得键值越来,方波的占空比越大,最高可达15/16,最低为0。键盘扫描输出为一个4为的数组。扫描简单的来所就是给行低电平,看列获得的电位就可确定在此行所按下的键。大体流程如下:时钟1MHZ输入Count+1Count=0判断按键输出相应键值Count=3扫描第四行Count=2扫描第三行Count=1扫描第二行Count=0扫描第一行Count=3图3.9键盘扫描流程图键盘模块大体设计思路为,由信号COUNT对时钟0到3循环计数,在CONT=N时对第N列扫描,判断列端电位,确定当前按键的键位,输出键值。图3.10键盘模块3.7 显示模块程序设计显示模块为16*16点阵LED。大体流程如下:COUNT=”10111”显示第十六列LED点阵COUNT=”01000”显示第一列LED点阵COUNT=”00111”显示第八位LEDCOUNT=”00000”显示第一位LEDCOUNT=”00000”COUNT+1COUNT=”10111”时钟1KHZ是否图3.11 显示模块程序流程显示程序中,我们把十进制计数器的百、十、个位数输入,设计当中,只记录六秒钟的转速,显示的为每分钟的转速。点阵LED显示需要译码,按每列译码。点阵LED每次点亮一列,高速点亮就可以在阵面上看到显示汉字或者数字。图3.12 点阵显示模块4 设计过程介绍4.1 设计过程(1)测速显示当电机旋转时,带动转盘是的磁钢片一起旋转,当磁钢片旋转到霍尔器件的上方时,可以导致霍尔器件的输出端高电平变为低电平。当磁钢片转过霍尔器件上方后,霍尔器件的输出端又恢复高电平输出。这样电机每旋转一周,则会使霍尔器件的输出端产生一个低脉冲,我们就可以通过检测单位时间内霍尔器件输出端低脉冲的个数来推算出直流电机在单位时间内的转速。电机的转速通常是指每分钟电机的转速,也就是单位为rpm,实际测量过程中,为了减少转速刷新的时间,通常都是510秒刷新一次。如果每6秒钟刷新一次,那么相当于只记录了6秒钟内的电机转数,把记录的数据乘10即得到一分钟的转速。最后将这个数据在点阵上显示出来。为了使显示的数据能够在点阵上显示稳定,在这个数据的输出时加入了一个16位的锁存器,把锁存的数据送给点阵显示,这样就来会因为在计数过程中,数据的变化而使点阵显示不断变化。(2)pwm产生控制通过控制占空比,从而达到控制直流电机控制。用按键先预设一个速度值,让电动机的实际转速与预设值比较,如果不相等,就调节占空比使之达到预设值。4.2设计体会EDA技术对于我们电子信息工程专业的学生来说是一本很重要的专业技术课程,EDA技术极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度,是一门实际应用很广泛的技术。现在对EDA的概念或范畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA技术已在各大公司、企事业单位和科研教学部门广泛使用。例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。所以,EDA课程的学习对于我们自身素质和能力的提高有十分重要的积极作用,应该很认真的学习。本次课程设计为期2周,在这两周中,我们经历了从什么都不懂,到有些了解,到逐渐熟悉EDA各软件使用,及VHDL语言的运用几个过程。在此过程中我学到了很多书本上学不到的东西,同时也巩固了以前所学的知识。在做子模块编写的时候遇到了很多问题,开始时什么都不知道,就到网上搜集资料,查看老师给的资料,再不懂的就问老师,后来弄清了其中的原理后,经过多次练习,逐渐能够解决编写程序中的问题,把模块编写出来。然而,在仿真时,也遇到了一点困难,想要的结果不能得到正确的显示,但是经过对结果的一点点分析,从错误中思考产生错误的原因,修改电路图,最终得到想要的结果,完成了本次课程设计。通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,从而提高自己的实际动手能力和独立思考的能力,才能真正为社会服务。在此,也要感谢同组的成员,我基础不好,很多都不懂,感谢他在这两周的无私帮助,细心讲解,还要感谢给予我们悉心指导的老师,在这两周中,多亏您们不厌其烦的指教。谢谢!参考文献1 SOPCIIEDA实验指导书(第二版)2 SOPCII使用手册(第二版)3 EDA技术与应用(第4版). 江国强编著. 电子工业出版社,20134 EDA技术实用教程(第二版),潘松、黄继业编著 ,科学出版社 ,2005附录A:设计程序时钟控制信号模块程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;-entity teltcl is port( Clk : in std_logic; -时钟输入1Mhz ena : out std_logic; -允许计数 clr : out std_logic; -计数器清零信号产生 load : out std_logic -锁存、显示输出允许 ); end teltcl;-architecture behave of teltcl is signal clk1hz :std_logic;-1HZ时钟信号 signal count : std_logic_vector(2 downto 0);-6秒计数 signal clr1 :std_logic;-清零信号 signal ena1 :std_logic;-允许计数信号 signal load1 :std_logic;-允许计数信号 signal cq1,cq2,cq3,cq4 : INTEGER RANGE 0 TO 15;-计数数据 begin process(clk) -1HZ信号产生 variable cnttemp : INTEGER RANGE 0 TO 999999; begin IF clk=1 AND clkevent THEN IF cnttemp=999999 THEN cnttemp:=0; ELSE IF cnttemp500000 THEN clk1hz=1; ELSE clk1hz=0; END IF; cnttemp:=cnttemp+1; END IF; end if; end process; process(Clk1hz)-6秒计数 begin if(Clk1hzevent and Clk1hz=1) then count=count+1; if count6 then ena1=1;load1=0;clr1=0; elsif count=6 then load1=1;ena1=0;clr1=0; elsif count=7 then ena1=0;load1=0;clr1=1; end if; end if; ena=ena1; load=load1;clr=clr1; end process;end behave;十进制计数器模块程序 LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL; ENTITY CNT10 IS PORT (CLK:IN STD_LOGIC; -计数时钟信号 CLR:IN STD_LOGIC; -清零信号 ENA:IN STD_LOGIC; -计数使能信号 CQ :OUT INTEGER RANGE 0 TO 15;-4位计数结果输出 CARRY_OUT:OUT STD_LOGIC); -计数进位END CNT10;ARCHITECTURE ART OF CNT10 IS SIGNAL CQI :INTEGER RANGE 0 TO 15;BEGINPROCESS(CLK,ENA) ISBEGIN IF CLR= 1 THEN CQI= 0; -计数器异步清零 elsIF CLKEVENT AND CLK= 1 THEN IF ENA= 1 THEN iF CQI=10 THEN cqi=1; ELSE CQI=cqi+1; END IF; -等于9,则计数器清零 END IF; END IF;END PROCESS;PROCESS (CQI) IS BEGIN IF CQI=10 THEN CARRY_OUT= 1; -进位输出 ELSE CARRY_OUT= 0; END IF;END PROCESS; CQ=CQI;END ART;键盘扫描程序library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL; PORT ( clk : IN std_logic; rst : IN std_logic; row : OUT std_logic_vector(3 DOWNTO 0); - 行线 column : IN std_logic_vector(3 DOWNTO 0); - 列线 pwm : out integer range 0 to 15 ); -电机控制信号END ck;ARCHITECTURE arch OF ck ISSIGNAL div_cnt : std_logic_vector(24 downto 0);SIGNAL scan_key : std_logic_vector(3 DOWNTO 0); -扫描码寄存器 SIGNAL key_code : integer range 0 to 15; signal keynum : integer range 0 to 15; BEGIN row = scan_key; pwm = key_code; PROCESS(clk,rst) BEGIN IF (NOT rst = 1) THEN div_cnt = 0000000000000000000000000; ELSIF(clkEVENT AND clk = 1)THEN div_cnt scan_key scan_key scan_key scan_key=0111; END CASE; END PROCESS; PROCESS(clk,rst) BEGIN IF (NOT rst = 1) THEN key_code CASE column IS WHEN 1110 = key_code key_code key_code key_code NULL; END CASE; WHEN 1101 = CASE column IS WHEN 1110 = key_code key_code key_code key_code NULL; END CASE; WHEN 1011 = CASE column IS WHEN 1110 = key_code key_code key_code key_code NULL; END CASE; WHEN 0111 = CASE column IS WHEN 1110 = key_code key_code key_code key_code NULL; END CASE; WHEN

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论