EDA课程设计--心电图显示.docx_第1页
EDA课程设计--心电图显示.docx_第2页
EDA课程设计--心电图显示.docx_第3页
EDA课程设计--心电图显示.docx_第4页
EDA课程设计--心电图显示.docx_第5页
已阅读5页,还剩6页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

石河子大学信息科学与技术学院EDA课程设计报告课题名称:心电图显示学生姓名:学 号:学 院:信息科学与技术学院专业年级:电子信息工程2011级指导教师:完成日期:2013年1月1日摘要:针对心电信号的特点进行心电信号的采集、数据转换模块的设计与开发。设计一种用于心电信号采集的电路,然后进行A/D转换,使得心电信号的频率达到采样要求。人体的心电信号是一种低频率的微弱信号,由于心电信号直接取自人体,所以在心电采集的过程中不可避免会混入各种干扰信号。为获得含有较小噪声的心电信号,需要对采集到的心电信号做降噪处理。目前对心电信号的降噪有多种方法,本文主要从滤波的方面介绍将噪声从信号中分离。关键词:心电信号采集,降噪,A/D转换放大,电源电路一、 总体设计思路:心电信号是一种典型的人体生理信号,具有生物电信号的普遍特征,如幅度小、频率低并且易受外界环境干扰,为采集和测量带来了难度。由于本系统需要进行大量的数学运算,所以对处理器的数据处理能力和速度也有很高的要求。如果选用处理速度很快的处理器,则相应的外设也要有与之相适应的性能指标16。综合各个方面因素,电路设计要求:(1)对微弱的心电心电信号进行放大和滤波等必要的信号调理a)设计合理的导联系统,选择合适的传感器。b)设计合理的有源滤波器,能够进行005-100Hz的带通滤波,50Hz陷波。c)实现1000倍的信号放大。d)实现信号电压抬高。(2)进行符合要求的AD转换根据采样定理,采样频率要是心电频率的2倍以上,所以A/D的采样频率至少要达到200Hz以上。(3)设计电源电路1.1心电信号采集电路低通滤波高通滤波前置放大人体心电显示A/D转换图1系统流程图1.2前置放大级由于心电信号是微弱信号,所以设置前置放大器用来放大心电信号;为了抑制基线漂移,设置了0.5Hz高通滤波;由于心电信号属于低频信号,设置了二阶低通巴特沃斯滤波器,消除100 Hz以上的高频成分;为了消除50 Hz工频干扰,设置50 Hz双T陷波电路;为了心电信号不失真,设计了电平抬升电路;最后设置了A/D转换电路,使信号频率达到采样要求17。本系统选用的前置放大器是AD620A,具有很好的性能,非常适合作为心电信号测量前置放大器,引脚分布如图3.2其具体规格特性如下:(1)电源供应范围:2.3V-18V;(2)高精度:输人最大偏置电流:1mA;输人最大失调电流:O5nA;输入最大失调电压:50V;最大温度漂移:O6V;输入阻抗:10G。(3)低杂讯:输入电压噪声(f=1K Hz):9nV:共模抑制比(增益G=10):100dB。AD620的增益可调,范围为11000倍,通过调节AD620A的1和8腿之间的Rg的值来实现: 图2 AD620引脚分布图本电路所用的集成放大电路为OP07。引脚分布如图3.3。OP07芯片是一种低噪声的单运算放大器集成电路。由于OP07具有非常低的输入失调电压(对于OP07A最大为75V),所以OP07在很多应用场合不需要额外的调零措施。OP07同时具有输入偏置电流低(OP07A为2nA)和开环增益高(对于OP07A为300V/mV)的特点,这种低失调、高开环增益的特性使得OP07特别适用于高增益的测量设备和放大传感器的微弱信号等方面。其主要规格参数有:电源供应范围:3V-18V;输入最大失调电压:75V;最大温度漂移:1.3V /。图3 OP07引脚图图4硬件电路图1.3高通滤波电路的设计高通滤波器(消除基线漂移)在电路部分加上简单的高通滤波环节,对隔断直流通路和消除基线漂移将会起到事半功倍的效果,本部分电路置于预放大与信号放大电路之间,一个简单的无源高通滤波电路,具体电路如下图所示:图5:高通滤波器其特征频率(转折频率)计算为: 经过高通滤波后,可以大大削弱003 Hz以下因呼吸等引起的基线漂移程度,心电信号低频端也就相应地取该频率。1.4低通滤波器设计心电信号频率主要集中在低频段(0.05Hz100Hz),在此频以外还存在着很多对心电信号造成干扰的信号。高通滤波已在上面实现,现在只要做好低通部分就可以实现带通滤波。这里我们用运放实现的四阶有源滤波器来实现截止频率设为100Hz的低通滤波器。电路图如下:图6:截止频率为100Hz的低通滤波器1.5放大电路心电信号虽然通过前级电路放大,但电压幅度还不适合进行A/D转换。因此在A/D转换之前,还要对心电信号进一步放大,使其满足A/D转换芯片ADC0809的电器要求(输入电压为0-5V)。图7前置放大电路1.6 A/D转换ADC0809是带有8位A/D转换器、8路多路开关以及微处理机兼容的控制逻辑的CMOS组件组成,电路图如下:图8:8位AD转换电路液晶显示library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity ctrl isPort (sysclk : in std_logic; reset : in std_logic; ad: out std_logic_vector(9 downto 0); -10位地址输出 A0: out std_logic; -设置控制命令与数据 CS1:out std_logic; -片选信号SED1502(1) CS2:out std_logic); -片选信号SED1502(2) end ctrl;architecture Behavioral of ctrl issignal divcnt : std_logic_vector(11 downto 0); -系统计数signal data : std_logic_vector(9 downto 0); -地址输出signal clk1,clk2,a01,cs11,cs21,flag,csflag : std_logic;beginprocess(sysclk,reset) -初始计数beginif reset=0 then divcnt 0); flag=1; elsif sysclkevent and sysclk=1 thenif divcnt=100000010011 then -10#2067#; flag=0; divcnt=000000000000;else divcnt = divcnt + 1;end if;end if;end process;data=divcnt(11 downto 2);-最高10位为地址clk1=divcnt(0) and flag; clk2=divcnt(1) and flag;process(clk1,clk2)beginif clk1event and clk1=1 then if clk2=0 then csflag=1; else csflagA01=0;cs11=0;cs21A01=0;cs11=1;cs21A01=1;cs11=0;cs21A01=1;cs11=0;cs21A01=1;cs11=0;cs21A01=1;cs11=0;cs21A01=1;cs11=1;cs21A01=1;cs11=1;cs21A01=1;cs11=1;cs21A01=1;cs11=1;cs21A01=0;cs11=1;cs21=1;end case;end if;end process;a0=a01;cs1=cs11 or csflag;cs2=cs21 or csflag;ad=data;end Behavioral;图9原理图四、课程设计总结:通过这次对心电图显示的设计制作,让我了解了EDA设计的基本步骤,也让我了解了关于心电图显示的原理与设计理念。本课题的目标是通过滤波的方法对心电信号进行提取采集,可应用于便携式心电机。经过翻阅大量的文献书籍后,我对目前通用的心电采集方法有了较为深刻的认识。经过努力,初步完成了硬件的设计。在设计中遇到了很多问题,最后在老师的辛勤指导下,终于游逆而解。同时,我学得到很多实用的知识。总体来说,这次设计我受益匪浅.使我对相关软件的运用更加熟练。在摸索该如何设计程序使之实现所需功能的过程中,培养了我的设计思维,增加了实际操作能力.在让我体会到了设计的艰辛的同时,更让我体会到成功的喜悦和快乐.。这次EDA课程设计,虽然短暂但是让我得到多方面的提高:1、提高了我们的逻辑思维能力,使我们在程序的改写和运用上有了很大的进步。加深了我们对Verilog 硬件语言的认识,进一步增进了对一些常见器件的了解。另外,我们还更加充分的认识到,EDA这门课程在科学发展中的至关重要性。2、查阅参考书的独立思考的能力以及培养非常重要,我们在设计电路时,遇到很多不理解的东西,有的我们通过查阅参考书弄明白,有的通过网络查到,但由于时间和资料有限我们更多的还是独立思考。3、相互讨论共同研究也是很重要的,经常出现一些问题,经过和同组同学讨论和资料的查询最终得到了解决。锻炼了我们的团队合作精神及分工合作的能力。从老师把课程设计的题目给我们之后,我们就开始着手分工以及查阅资料。在整个设计过程中,我们按照老师给出的总体方案设计了一套电路原理。在设计过程中,了解了很多元器件的功能,并且对于其在电路中的使用有了更多的认识。当然这毕竟第一次做,难免会遇到过各种各样的问题,同时也在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。这次课程设计使我懂得了理论与实际相结合的重要性,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,才能够真正掌握理论知识,从而提高自己的实际动手能力和独立思考的能力以及团队合作精神。在实验时要能沉得住心,静下来仔细思考,多向老师和同学请教。参考文献:1 张开滋,刘海样,吴杰心电信息学北京:科学技术文献出版社,199842 张亦华 数字逻

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论