




已阅读5页,还剩6页未读, 继续免费阅读
版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
石家庄经济学院通信实习报告院系: 信息工程学院 学号: 姓名: 日期: 2013.1.15 一、实习目的1、 通过本次专业课程设计巩固并扩展通信课程的基本概念、基本理论、分析方法和仿真实现方法。2、 结合所学的MATLAB和EDA等软件仿真技术,完成通信专业相关课程内容的建模和设计仿真。到达通信专业相关理论课程有效的巩固和整合,实现将理论知识和软件设计紧密结合。3、 通过本次专业课程设计达到培养学生的创新能力、通信系统建模和仿真设计能力以及软件调试和分析能力的目的。二、实习要求1、应用通信类软件完成通信系统相关内容的设计和建模,并仿真出正确结果,对仿真波形加以重点分析和说明。2、按要求格式书写报告,原理充分、设计方法及仿真结果分析正确、条理清晰、重点突出。三、实习内容(1)实习题目 多进制数字相位调制系统设计(2)设计原理 一、多进制数字相位调制(MPSK)多进制数字相位调制也称多元调相或多相制。它利用具有多个相位状态的正弦波来代表多组二进制信息码元,即用载波的一个相位对应于一组二进制信息码元。如果载波有2k个相位,它可以代表 k位二进制码元的不同码组。多进制相移键控也分为多进制绝对相移键控和多进制相对(差分)相移键控。在MPSK信号中,载波相位可取M个可能值,因此,MPSK信号可表示为假定载波频率是基带数字信号速率的整数倍,则上式可改写为上式表明,MPSK信号可等效为两个正交载波进行多电平双边带调幅所得已调波之和。因此其带宽与MASK信号带宽相同,带宽的产生也可按类似于产生双边带正交调制信号的方式实现。下面以四相相位调制为例进行讨论。四相调相信号是一种四状态符号,即符号有00、01、10、11四种状态。所以,对于输入的二进制序列,首先必须分组,每两位码元一组。然后根据组合情况,用载波的四种相位表征它们。这种由两个码元构成一种状态的符号码元称为双比特码元。同理,k位二进制码构成一种状态符号的码元则称为k比特码元。二、4PSK信号四相PSK(4PSK)信号实际是两路正交双边带信号。串行输入的二进制码,两位分成一组。若前一位用A表示,后一位用B表示,经串/并变换后变成宽度加倍的并行码(A、B码元在时间上是对齐的)。再分别进行极性变换,把单极性码变成双极性码,然后与载波相乘,形成正交的双边带信号,加法器输出形成4PSK信号。显然,此系统产生的是4系统PSK信号。如果产生2系统的PSK信号,只需把载波移相4后再加到乘法器上即可。 (系统信号的产生原理框图 )因为 4 PSK信号是两个正交的2 PSK信号的合成,所以可仿照 2 PSK信号的相平解调方法,用两个正交的相干载波分别检测A和B两个分量,然后还原成串行二进制数字信号,即可完成4 PSK信号的解调。此法是一种正交相平解调法,又称极性比较法,原理图在下页(系统PSK信号解调原理框图)为了分析方便,可不考虑噪声的影响。这样,加到接收机上的信号在符号持续时间内可表示两路乘法器的输出分别为LPF输出分别是根据4移相系统PSK信号的相位配置规定,抽样判决器的判决准则表在下页。 当判决器按极性判决时,若正抽样值判为1,负抽样值判为0,则可将调相信号解调为相应的数字信号。解调出的A和B再经并串变换,就可还原出原调制信号。若解调2移相系统的PSK信号,需改变移相网络及判决准则。 (4 系统判决器判决准则)三、MPSK调制电路VHDL程序及仿真 FPGAclkstart基带信号分频090180270串/并转换四选一开关调制信号(MPSK调制方框图)注:电路符号图中没有包含模拟电路部分,输出信号为数字信号。基带信号通过串/并转换器xx得到2位并行信号yy;四选一开关 根据yy的数据,选择载波对应的相位进行输出,即得调制信号y。-文件名:MPSK-功能:基于VHDL硬件描述语言,对基带信号进行MPSK调制-说明:调制信号说明如下表所示。(3)设计方法 library ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity MPSK isport(clk :in std_logic; -系统时钟 start :in std_logic; -开始调制信号 x :in std_logic; -基带信号 y :out std_logic); -调制信号end MPSK;architecture behav of MPSK issignal q:integer range 0 to 7; -计数器signal xx:std_logic_vector(1 downto 0);-中间寄存器signal yy:std_logic_vector(1 downto 0);-2位并行码寄存器signal f:std_logic_vector(3 downto 0); -载波fbeginprocess(clk)-通过对clk分频,得到4种相位;并完成基带信号的串并转换beginif clkevent and clk=1 then if start=0 then q=0; elsif q=0 then q=1;f(3)=1; f(1)=0; xx(1)=x;yy=xx; elsif q=2 then q=3;f(2)=0; f(0)=1; elsif q=4 then q=5;f(3)=0; f(1)=1; xx(0)=x; elsif q=6 then q=7;f(2)=1; f(0)=0; else q=q+1; end if;end if;end process;y=f(0) when yy=11 else f(1) when yy=10 else f(2) when yy=01 else f(3); -根据yy寄存器数据,输出对应的载波end behav;(4)仿真结果及分析(MPSK调制VHDL程序仿真全图 )(MPSK调制VHDL程序仿真局部放大图1)(5) 结论多进制数字调制技术与FPGA的结合使得通信系统的性能得到了迅速的提高。本文基于FPGA实现了MPSK调制解调电路部分。在实际应用中,完全可以把调制部分和解调部分电路都集成到一片FPGA芯片内,这样即提高了FPGA内部结构的利用率,又可以降低系统的成本。 4、 参考文献【1】徐以涛,沈良,王金龙FPGA技术在软件无线电中的应用【J】电信科学,200l(11):36-39【2】樊昌信,张甫翊,徐炳祥,等通信原理【M】第五版.北京:国防工业出版社,2001.【3】阳晰高速数字调制解调【D】.成都:电子科技大学,2005 【4】损增友.基于FPGA的MPSK调制器的设计【J】.数字技术与应用,2009(7):19-20.【5】詹仙宁,田耘.VHDL开发精解与实例剖析【M】.北京:电子工业出版社,2009.5、 实习体会本次课程设计过程中,遇到的一个难点就是程序的调试,本来编写的程序是没错的, 但是一旦输入调试软件中就会报告各种错误,有些错误很难找出来,有时候明明设计的一个程序是正确的,但是就是报错,有时候大家一起合作可以找出错误,但是有时候就只能寻求帮助。从调试中我学到了我们平时自己写程序的时候一定要规范,字体、格式等等,都要严格的按照要求来做。通过这次课程设计,我也意识到了我对
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 2025年福建南平武夷文化旅游投资开发有限公司见习生、实习生考试笔试试题(含答案)
- 【南阳】2025年河南南阳市南召县卫生系统事业单位(总医院)招聘43人笔试历年典型考题及考点剖析附带答案详解
- 【辽源】2025年吉林辽源市拉拉河社会福利院招聘工作人员4人笔试历年典型考题及考点剖析附带答案详解
- 文库发布:班会课件
- 文库发布:急诊科课件
- 房间课件内容
- 敬畏的课件教学课件
- 敬畏主题班会课件
- 散步课件介绍
- 散步的说课课件
- GB/T 5470-2008塑料冲击法脆化温度的测定
- GB/T 40998-2021变性淀粉中羟丙基含量的测定分光光度法
- GB/T 3672.2-2002橡胶制品的公差第2部分:几何公差
- GB/T 31848-2015汽车贴膜玻璃贴膜要求
- GB/T 18884.2-2015家用厨房设备第2部分:通用技术要求
- GB/T 12239-2008工业阀门金属隔膜阀
- 行政法培训讲义课件
- DB32T 4174-2021 城市居住区和单位绿化标准
- 基本原理与性能特点多自由度电磁轴承课件
- 北京输变电工程标准工艺应用图册(图文并茂)
- 三相负荷(380V)及单相(220V)最大供电距离计算表及电压降计算表
评论
0/150
提交评论