




已阅读5页,还剩25页未读, 继续免费阅读
版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
四川师范大学成都学院专科毕业设计 四川师范大学成都学院专科毕业设计 数字频率计的设计 基于LED动态显示学生姓名张家祥 所 在 系电子工程系专业名称无线电技术班 级06级 无线电 班学 号2006215036指导教师刘强四川师范大学成都学院教务处二九年五月数字频率计的设计基于LED动态显示学生:张家祥 指导老师:刘强 摘要 :本文主要介绍了数字频率计的设计.由于它的硬件电路非常简单,所以我们在这里主要介绍数字频率计的软件设计。现在很多芯片都可以用来设计频率计,而我们结合到所学的知识选择PIC单片机和CPLD芯片来实现。 数字频率计主要由三个部分组成:分频部分,测量频率部分和显示部分。其中分频部分用一片CPLD芯片来实现,主要用VHDL硬件描述语言来进行设计,该部分主要负责将信号频率自动的分频到适合的范围内,从而扩大了频率测量的范围。测量频率部分和显示部分主要由一片PIC16F877来实现,该芯片需要编程完成的任务是把从CPLD送过来的数据进行频率测量,然后送到LED显示出来。测量频率部分还给出了各个模块程序设计的流程图,和主要代码,所需芯片的介绍。特别详细的介绍了数字频率计所用到的PIC核心模块CCP1的特点和使用VHDL程序的在MAX+plus 下调试,单片机程序在MAPLAB下调试。在下面的文章中详细的介绍了各个功能模块功能,设计思路和实现方法。使读者对这个设计过程有个全面的了解。关键词 MPLAB MAX+plus 软件 二极管显示器 电子设计自动化 数字系统设计 可编程逻辑器件The Design of Digital Frequency CounterAbstract:The text mainly introduce how to design the digital frequency .for its hardware circuit is so easy that we mainly introduce how to use the software to design the digital frequency. Now so many chips are used to design frequency, but we choose PIC Micro-controller and CPLD chip to realize it. The digital frequency contains three parts: dividing part, calculating part and showing part. The CPLD completes dividing parts function, which mainly depend on the VHDL. This parts function is change the frequency into proper frequency and we can calculate the big frequency. The calculating part and showing part are realized by PIC Micro-controller. The chip must calculate the datas frequency from CPLD and show it in the LED by a program. The calculate part raises the process pictures, the mainly codes and the chips needed to use .In this text, we specially introduce the CCP1s features, which is the core of PIC.I also introduce the two software tools: how to test the VHDL program under the Max+plus and how to test the micro-controller program under the MAPLAB. In the text, I will emphasis the function of every part, the designing method and how to realize it. Our reader will know most of the designing process.Keywords: MPLAB VHDL LED EDA digital design PLD 目 录引言 3一、系统组成 3二、测频原理3三、数字频率计的总体设计4(一).电源电路4(二).数控分频41、设计思路42、系统流程图4(三).频率计51、CCP模块工作模式介绍52、输入模式的工作原理53、测频思路及方法6(四)显示71、LED显示方式比较72、LED驱动的译码方式73、动态显示方法74、物理电路搭建8(五)硬件电路的搭建与调试9四、总结及设计通用性10五、结束语11六、致谢12七、附录13八、参考文献14引 言在电子时代的今天, 数字化已成为电子行业的主题, 通信, 电视等都一一步入数字时代,“数字化地球”、“数字化城市”,这些概念越来越深入人心。数字技术的应用也从各个方面渗透到了人们的生活之中。数字系统的发展随着器件和集成技术的发展越来越快。数字器件经历了从SSI、MSI、LSI到VLSI,直到如今的SOC(System On Chip,系统芯片)。正当电子行业突飞猛进的时候,各种精密仪器对频率的要求越来越高。由于基于传统的测频原理的频率计测量精度会随被测信号频率下降而降低,在实用中有很大的局限性,于是我在想:能否在原来模拟频率计的基础上,利用EDA技术,设计出一种数字频率计,并且使其具有较高的测量精度和较低的功耗呢!经过老师的赖心指导和帮助,我终于设计成功了一款数字频率计。该数字频率计利用等精度测量原理,采用软硬件相结合的方式设计而成。在精确方面我们采用了单片机自动分频,在降低功耗的方面我们对所用的芯片和设计的电路进行综合处理使其达到更佳的效果。数字频率计不仅可以用来测量数字信号的频率,还可以测量模拟信号的频率。当输入一个信号的时候调节按钮可以从LED上读出频率的具体值。本文重点要探讨的是用VHDL设计分频电路和用单片机测频并且通过LED显示的方案选择和设计过程。在次此完成的设计项目可达到的功能和技术指标为:(1) 频率测试功能:测频范围在1Hz到10KHz.(2) 显示位数用三位LED显示.一、系统组成数字频率计的主系统如下所示,主要由3个部分组成: (一):数控分频。其功能是要求当输入端给定的不同数据时,其输出脉冲具有相应的对输入时钟的分频比。通过分频比来提高测量频率的范围。(二):测频计。是用来测量频率的大小。(三):显示器。可以用三个数码管进行测试结果,最高的频率范围在兆赫兹。为了降低损耗,我们采用动态显示的方式。二、测频原理基于EDA和单片机所学知识,直接输入数字信号,用分频器来分频(因为不能显示更大的量程,这样可以扩大量程),再用测频部分来得出频率,我们通过先测量出信号的周期然后在通过1/T将它转化成频率,测量过程主要先用PIC16F877的CCP1来完成,把CCP1设置为上升沿中断,第一次中断时将CCPR1L和CCPR1H清零,第二次中断时系统自动将其捕捉到的值即周期保存在CCPR1H和CCPR1L中,然后编程读出该值,并将其转化成频率,最后由单片机来控制数码管显示结果。原理方框图如下:图1原理方框图由于该款数字频率计的主要功能大部分由软件编程来实现,所以,在硬件设计的过程中我们只需几根导线将单片机PIC16F87X和CPLD及LED动态显示电路连接起来就可以了。三、数字频率计的总体设计(一)电源电路思路:通过 7805 三端稳压芯片将市电(220V,50Hz)整形为 +5V的直流稳压电源。78系列的芯片做的比较多而好的是美国的国家半导体公司(national semiconductor)的LM780X 和摩托罗拉公司 MC780X 等2大系列。78系列是高压差的稳压芯片。7805是串联型稳压集成电路中的三端固定输出稳压集成电路。7805是正电压系列中的,它具有输出、输入和公共端(接地)三个引脚;它的输出电压是不能调整的,是一个定值:+5V。 电路原理图如下: 2 图电路原理图 电路工作原理:该电路输入的 220V 交流电经变压器降压到 9V,再经过 VD1 VD4 对其进行整流后进入到7805 三端稳压器中稳压,输出一个稳定的 +5V 电压。(二)数控分频1.设计思路。按照自顶向下设计的思路,将分频器的设计分成两个模块:指示灯显示模块、分频模块。这两模块共用数据总线,但分别有各自独立的控制总线。图表如下: 表1 分频部分指示灯部分控制信号clk单片机控制数据信号key0key7key0key7第一步,设置8个不同的分频状态。用8位二进制数来表示。不同的灯亮代表不同的值。利用了8个指示灯来代表不同的开关,不同的灯亮的时候就控制输出的Q值就在(0和7之间)选择。第二步,为每个状态赋值,并由单片机判断是否超出结果,如果超出就自动给个控制信号选更大的分频值。在这里选用8位的原因是我们这里使用的是8位单片机进行处理。 第三步,由单片机反馈的信号来点亮对应的指示灯。 2. 系统流程图如下:图3系统流程图3. 分频器原理图如下: 图4分频器原理图(三)频率计利用PIC16F87X系列单片机的CCP1(输入捕捉/输出比较/脉冲宽度调制)模块来测频。CCP1模块包含一个16位的可读/写的寄存器。这个寄存器既作为16位的输入捕捉寄存器,又作为脉宽调制PWM输出信号的占空比设置为主、从寄存器。1、CCP1输入捕捉模式的电路结构图5电路结构图2、CCP1输入捕捉模式的工作原理当一个捕捉事件发生后,硬件自动将CCP1的中断标志位CCP1IF置1,表示产生一次CCP1中断。CCP1IF位必须用软件重新清0。当CCPR1寄存器中的值还未被程序读取,而又有一个新的捕捉事件发生时,原来的值将被新的值覆盖。而捕捉事件是否放生由CCP1的控制寄存器来设定。一旦引脚RC2/CCP1上发生以下事件,CCPR1寄存器立即捕捉下这一时刻的TMR1的计数值:出现脉冲下降沿;出现脉冲上升沿;每出现4个脉冲上升沿;每出现16个脉冲上升沿; 注意:在捕捉模式下,RC2/CCP1脚必须由相应的方向控制寄存器TRISC的bit2设定为输入方式。TMR1必须设定为定时器或者同步计数器方式。3、测频思路及方法方案一,在一段规定的时间内记下信号脉冲的个数,然后用 频率=个数/时间 得出频率这种方法主要用于测量高频信号,因为频率很高的情况下,信号的周期是非常小的,难以测量,并且在这种情况下测量周期时相对误差较大,从而使测量到的频率产生较大误差. 方案二,先测得脉冲信号的周期,然后用 频率=1/周期 得出频率这种测量频率的方法主要用于频率较低的时候,因为在这种情况下,测量到的周期值相对高频时要大许多,这样测量出来的值相对误差较小,从而使计算出的频率值比较准确,然而在低频时用数脉冲数的方法有时会引起比较大的误差,举一个比较特殊的例子:当频率为1.5Hz时,如果用数脉冲的方法测量到的值就只有Hz,这时的误差是很大的。方案选择:考虑到我们这里所设计的频率记主要用于测量低频信号(因为频率太高CPLD会自动分频,将较高频率的信号转化成较低频率的信号),所以我们选择了测量周期的方法来计算频率4、系统流程图单片机主程序流程图如下: 图6单片机主程序流程图 (1)初始化子程序流程:该模块主要完成单片机的初始化:将RB设置为反馈分频控制信号的输出口,RC2/CCP1设置为信号输入端,RD设置为LED显示口,RE设置为LED显示控制端口。通过寄存器CCP1CON设置CCP1为捕捉模式,捕捉上升沿。 (2)数据处理子程序:该模块所要完成的功能主要是测得频率值(16进制形式):0X0010x3E7。 (3)数据转换子程序:该模块主要是把所得到的十六进制的频率值(0X0010X3E7)转化成十进制的数值(1999)以方便显示。(4)显示子程序:该模块主要是将十进制数(1999)显示在LED上面。(5)中断子程序: 该模块主要通过寄存器COUNT_INT的值由0开始自动加1,然后判断Bit1的值,若为0则视为奇数次中断,不需保存CCPR1中的数据;若为1则视为偶数次中断,保存CCPR1中的数据。5显示 LED的管脚排列如下: 图7 LED的管脚排列图 管脚顺序:从数码管的正面光看,以第一脚为起点,管脚的顺序是逆时针方向排列。7 A 6 B 4 C 2 D 1 E 9 F 10 G 5 DP 3和8 公共脚(1)、LED显示方式比较直流静态显示,一个输出口驱动一只发光二极管,显示具有锁存,使用的硬件电路较多,而且需要下一次显示数据更新才会改变,在此期间一直显示。分时动态(扫描)显示,分时对每位数码管进行显示,一时刻只能显示一位。虽然有闪烁感,占用的CPU时间多,但使用的硬件少,能节省线路板空间,功耗也较小。因此,从节约成本和降低功耗的角度来说,我们选择分时动态(扫描)显示方式。(2)、LED驱动的译码方式硬件译码,显示的段码完全由硬件完成,CPU只要送出标准的BCD码即可,硬件连接有一定的标准。软件译码,用软件来完成硬件的功能,硬件简单,连接灵活,显示段码完全有软件来完成。因此,从硬件电路的简易性来说,我们选择软件译码。(3)、动态显示方法以三位七段共阴数码管为例,首先从段码控制口输出显示数据的段码,同时向位码控制寄存器送数据110,这样由于SL3为低电平而其它口为高电平,因此只有数码管SL3显示送来的段码(数据)。延时一段时间后接着发送第二个显示数据的段码,同样我们应使其对应的位码为低电平而其它的位为高电平。依次类推对各显示器进行扫描,显示器分时轮流工作。虽然每次只有一个LED数码管显示,但由于人的视觉暂留现象,使得我们仍会感觉所有的显示器都在同时显示. 电路原理图如下:(下图给出了三位七段共阳数码管的.LED.显示电路,段选口作静态显示使用。) 图8 电路原理图 基于以上分析,我们采用分时动态显示和软件译码方式。 显示程序采用单片机进行编程,这里我们用三位七段共阳数码管来显示。(4)、物理电路搭建将三只LED的段码接口(a,b,c,d,e,f,g,dp)并接在芯片.PIC16F877.的.RD 口上。位码接口接在RE 口(控制口)上(如上图所示)。各只 LED 发光二极管均有限流电阻,这是对单片机的端口引脚内部电路和发光二极管起保护驱动、延时。(5)、部分显示程序代码通过单片机PIC16F87X的E口(PORTE)来控制LED动态显示的顺序,这里先显示百位,然后显示十位,最后显示个位:MOVLW0X04;选择最高位LEDMOVWFPORTEMOVFDATA_HUN,W;显示百位数据CALLREADMOVWFPORTDMOVLW0X02;选择中间位LEDMOVWFPORTEMOVFDATA_DEC,W;显示十位数据CALLREADMOVWFPORTDMOVLW0X01;选择最低位LEDMOVWFPORTEMOVFDATA_ENT,W;显示个位数据CALLREADMOVWFPORTD把十进制送显示时,显示0-9分别由:0XC0,0XEF9,0XA4,0XB0,0X99,0X92,0X82,0XF8,0X80,0X90,0X86表示。6系统调试(1)、电路故障的检查:检查电路的连接 将每个部分按原理图相连接。在接好连线后,首先必须对照电路图仔细检查电路连线,如各晶体管或集成的引脚是否插对了,是否有漏线和错线,特别要检查电源与地线是否有短路现象。:通电检查 直接检查:在上述检查无误后,要先调好所需要的电源电压,然后才能给电路通电,观察电路是否发热、冒烟等异常现象。如果有,应立即关掉电源,待排除故障后,才可重新通电。 静态测试:先不加入信号,用万用表测量电路的Vcc与地间的电压,测量晶体管的静态工作点是否符合要求。 采用动态逐级跟踪法检查:在输入端加入一个有规律的信号,按信号流程用示波器依次观测各级波形是否符合要求。对与脉冲数字电路,还可以用发光二级管来逐级显示低频阶跃信号是否符合动态逻辑关系。采用替换法检查,可通过更换同型号元器件来发现器件故障。(2)、结果及讨论在实验板上演示的结果表明,基本完成了所提出的各项要求。:key 的值在00000001 到10000000之间时:对应的灯会亮,并且能随之变化,而数码管也会随不同的信号输出不同的结果。:当出现了不在指定的范围的数据时,不会显示结果出来.指示灯不亮,数码管上没有数据值. :该频率计的范围在100Hz到156MHz。:各个模块的显示基本上比较清晰,说明了EDA和单片机编程是很有用的.但是也存在值得讨论的一些问题(3)、问题分析:在进行设计时,最主要的是先设计理清时序。在单个实现各个模块功能时比较简单。但将各个功能模块综合在一起之后就需要理清它们的时序,才能够共用数据总线,使其互不干扰地工作。:有的程序可能在仿真时时序是完全正确的,而将程序下载到板子上之后却发现不对。这主要是由于各个功能实现时会有时延,这在仿真时是反映不出来的。因此编程时要注意在选中某个片子之前,要先将计算出的数据信号先放到数据总线上。:在目前所实现数字频率计等功能。当然,这都是要在你所选用的逻辑器件的资源够用的基础上来完成,或者用仿真来验证自己的设计。四、总结及设计通用性本文提供了一种简单可行的数字频率计的设计方案,采用自顶向下和自下向上相结合的方法进行设计,用VHDL语言输入,MAX+PLUS用 和Synplify 分别进行仿真、综合。在设计最后,针对器件进行了再一次的优化,缩短了设计的周期,提高了系统性能,而且大大的提高了芯片资源的利用率。本设计具有一定的通用性,它的逻辑大部分只涉及到编、解码器本身,以及分频等;而它的外部电路的接口十分简单。它的设计是十分具有独立性的。另外,由于选用器件资源比较丰富,故对其进行功能添加也十分方便,只需要添加电路设计而不必对原有电路进行修改。五、结 束 语为期三个月的毕业设计即将完成,我的大学生活也将画上一个圆满的句号,在做设计的这一段难忘的日子里,我学习到了很多东西。这次毕业设计使我在大学所学到的知识进行了升华,同时也提高了我的专业能力和实践动手能力,第一次比较全面的接触到一个产品从理论设计到实物实现的全过程,从中学到了很多书本上学不到的东西。这次毕业设计,使我觉学有所用,它让我对未来充满了希望和信心。六、致谢在这次毕业设计中我们得到了很多方面的帮助,非常感谢林信元老师、简磊老师等对我们的在理论和实践上的大力帮助,也非常感谢学校给我们提供的优越的设计环境,设计中难免还有些不完善的地方,欢迎读者提出宝贵的意见。七、附录(一)、数控分频程序:程序一、按钮选择:libraryieee;useieee.std_logic_1164.all;entitykeyncodeisport(key:in std_logic_vector(7 downto 0); q: outintegerrange0 to 7; spken:out std_logic);end;architecturea of keyncode isbeginprocess(key)begincasekey iswhen10000000= q=0; spken q=7; spken q=6; spken q=5; spken q=4; spken q=3; spken q=2; spken q=1; spken q=0; spken=0;end case;end process;end a;程序二分频: LISTP=16F877INCLUDE;所使用的变量libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitymf isport (clk,spken:in std_logic;q:in integer range0 to 7;spk:out std_logic);end;architectureaa of mf issignalfullspks :std_logic;signaldivfrq:integer range 0 to 10001;beginprocess(clk,spken)begincase q iswhen 0 = divfrq divfrq divfrq divfrq divfrq divfrq divfrq divfrq=10000;end case;end process;process (clk)variable count13 : integer range 0 to 10001;beginif (clkevent and clk=1) thenifspken=1 then if count13=divfrq then count13:=0; fullspks=0;else count13:=count13 +1;fullspks=1;end if;end if;end if;endprocess;process(fullspks)variable count2: std_logic;beginif fullspksevent and fullspks=1 then count2:=not count2; spk=count2;end if; end process;end aa;(二)、PIC单片机实现程序:LISTP=16F877INCLUDE;所使用的变量PRO_TEMPHEQU0X20PRO_TEMPLEQU0X21LED_TEMPHEQU0X22LED_TEMPLEQU0X23W_TEMPEQU0X24STA_TEMPEQU0X25COUNT_INTEQU0X26COUNT_CONEQU0X27PRO_TEMPEQU0X28DATA_HUNEQU0X29DATA_DECEQU0X30DATA_ENTEQU0X31ACCAHIEQU0X32ACCALOEQU0X33ACCBHIEQU0X34ACCBLOEQU0X35ACCCHIEQU0X36ACCCLOEQU0X37ACCDHIEQU0X38ACCDLOEQU0X39TEMPEQU0X40ORG0X00NOPGOTOMAINORG0X04GOTOINTINTNOPBANKSELT1CON;禁止TRM1BCFT1CON,0BANKSELINTCON;禁止中断,清TRM中断标志位CLRFINTCONMOVWFW_TEMP;保护现场SWAPFSTATUS,WMOVWFSTA_TEMPBANKSELCOUNT_INTINCFCOUNT_INT,FBTFSCCOUNT_INT,0GOTO CCP_COUNT1BANKSELCCPR1HMOVFCCPR1H,W;测量结果转存MOVWFPRO_TEMPHMOVFCCPR1L,WMOVWFPRO_TEMPLADDWUCHA BCF STATUS,C MOVLW 0X25 ADDWF PRO_TEMPL,F MOVLW 0X01 ANDWF STATUS,W ADDWF PRO_TEMPH,F GOTOOVERCCP_COUNT1BANKSELCCPR1HCLRFCCPR1HCLRFCCPR1LBANKSELTMR1LCLRFTMR1HCLRFTMR1LOVER BANKSELPIR1;清中断标志BCF PIR1,CCP1IFBANKSELINTCONBSF INTCON,6;使能外设中断BSF INTCON,7BANKSELT1CON;TRM1BSF T1CON,0BANKSELINTCONSWAPFSTA_TEMP,W;恢复现场,并中断返回MOVWFSTATUSSWAPFW_TEMP,FSWAPFW_TEMP,WRETFIEINITIAL1BANKSELINTCONCLRFINTCON;禁止全局中断,清中断标志位CLRFPIR1CLRFPIR2BANKSELTRISA;设置D口为输出显示,RC2口为输入CLRFTRISDCLRFTRISBCLRFTRISCCLRFTRISEBSF TRISC,2CLRFPIE1;禁止所有外设中断CLRFPIE2BSF PIE1,2 ;开CCP1中断BANKSELADCON1;使E口为输出口CLRFADCON1BSF ADCON1,1BANKSELT1CON;选择TMR1模式,内部时钟,分频比1:1CLRFT1CONBANKSELCCP1CONMOVLW0X05;设定CCP1为捕捉器模式,捕捉上升沿MOVWFCCP1CONRETURNINITIAL2BANKSELINTCONBSF INTCON,6;使能外设中断BSF INTCON,7;使能全局中断BANKSELCCPR1L;清CCPR寄存器CLRFCCPR1LCLRFCCPR1HBANKSELT1CON;使能TRM1BSF T1CON,0RETURN;求频率,送到LED_TEMP寄存器PROCESSBANKSELPRO_TEMPHBCF STATUS,CMOVF PRO_TEMPH,W;比较,若H的值大于0X26(此时周期最大值为9983微秒,而频率值为100)跳到SUBMOVWFPRO_TEMPSUBLW0X26BTFSSSTATUS,CGOTOCYM_SUBMOVLW 0XFF ;判断H的值是否为0,不等于0则跳到BEGIN,等于0则继续比较低8位LANDWFPRO_TEMP,WBTFSSSTATUS,ZGOTOBEGINBCF STATUS,CMOVFPRO_TEMPL,W ;比较L的值,小于0X40(此时周期最小值为64微秒,而频率值为15625)跳到ADDMOVWFPRO_TEMPSUBLW0X40BTFSCSTATUS,CGOTOCYM_ADDBEGIN NOPMOVLW0XF4;求倒数,被除数62500MOVWFACCBHIMOVLW0X24MOVWFACCBLOMOVFPRO_TEMPH,WMOVWFACCAHIMOVFPRO_TEMPL,WMOVWFACCALOCALLDIVBCF STATUS,CRLF ACCBLO,FRLF ACCBHI,FBCF STATUS,CRLF ACCBLO,FRLF ACCBHI,FBCF STATUS,CRLF ACCBLO,FRLFACCBHI,FBCFSTATUS,CRLFACCBLO,FRLFACCBHI,FGOTOPRO_OVERCYM_ADDMOVLW0X80ANDWFCOUNT_CON,WBTFSSSTATUS,ZGOTOPRO_OVERBCF STATUS,CRLF COUNT_CON,F;提高分频比MOVFCOUNT_CON,WMOVWFPORTBGOTOPRO_OVERCYM_SUBMOVLW0X01ANDWFCOUNT_CON,WBTFSSSTATUS,ZGOTOPRO_OVERBCF STATUS,CRRF COUNT_CON,F;降低分频比MOVFCOUNT_CON,WMOVWFPORTBPRO_OVER RETURN;求除法程序DIVMOVLW0XFMOVWFTEMPMOVFACCBHI,WMOVWFACCDHIMOVFACCBLO,WMOVWFACCDLOCLRFACCBHICLRFACCBLOINCFTEMPCLRFACCCHICLRFACCCLODLOOPBCF STATUS,CRLF ACCDLORLF ACCDHIRLF ACCCLORLF ACCCHIMOVFACCAHI,WSUBWFACCCHI,WBTFSSSTATUS,ZGOTONOCHKMOVFACCALO,WSUBWFACCCLO,0NOCHKBTFSSSTATUS,CGOTONOGOMOVFACCALO,WSUBWFACCCLOBTFSSSTATUS,CDECFACCCHIMOVFACCAHI,WSUBWFACCCHIBSF STATUS,CNOGORLF ACCBLORLF ACCBHIDECFSZTEMPGOTODLOOPRETURNDATA_CHAN MOVLW0X10MOVWFA
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 夏季亲子活动策划方案
- 建筑方案设计-技术创新
- 情感咨询账号搭建方案
- 小型建筑形体构建方案设计
- 延庆建筑景观拍摄方案设计
- 南开区全网营销报价方案
- 某县第十中学河北省人工智能创客教育实验校总结
- 市北混凝土道路施工方案
- 大学外出活动策划方案
- 工艺美术展览方案
- 人工智能智慧体育课件教学
- 海洋工程概论课件
- GA/T 2187-2024法庭科学整体分离痕迹检验规范
- 盗窃谅解赔偿协议书范本
- GB 45549-2025石墨和萤石单位产品能源消耗限额
- 2025年广东广州市高三二模高考政治试卷试题(含答案详解)
- 2024年晋能控股集团有限公司招聘笔试真题
- 沟通技巧与护理人文关怀
- 留置针的使用规范
- 钢结构转换层技术交底
- 生物医学面试题及答案
评论
0/150
提交评论