




已阅读5页,还剩22页未读, 继续免费阅读
版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1,VHDL精华_process 时序逻辑电路 计数器,2,74LS138译码器,architecture Bhv of my38 is begin process(A,En) begin if(EN = 0) THEN y y y y y y y y y y = “XXXXXXXX“; end case; end if; end process; end Bhv;,when others = y = NULL;,when others = y = b“0111_1111“;,3,74LS138译码器-RTL,4,74LS138译码器-RTL,OUT = DATA SEL,5,74LS138译码器-RTL,6,2bit二进制加法计数器,对时钟进行计数 输出:b“00“, b“01“ ,b“10“, b“11“,b“00“ 0,1,2,3,0,7,2bit二进制加法计数器,Library ieee; Use ieee.std_logic_1164.all; Use ieee.std_logic_unsigned.all; Entity Count_2b Is Port( clk: In std_logic; q: out std_logic_vector(1 downto 0 ); End;,8,2bit二进制加法计数器,Architecture bhv Of Count_2b Is signal cnt: std_logic_vector(1 downto 0); Begin Process (clk) Begin If (clkEvent And clk = 1) then cnt = cnt + 1; End if; q = cnt; End Process;,16bit加法?,减法?,9,2bit二进制加法计数器,10,2bit二进制加法计数器,二进制计数器输出信号频率和clk频率关系?,Fclk/2,Fclk/4,11,带复位、进位的10进制计数器,CLK,Q,R,CY,Counter,计数输出: 0,1,2,3,4,5,6,7,8,9,0 进位输出:计数0,CY=1 计数/0,CY=0,2N 10 N 3,12,带复位、进位的计数器,BIN Counter,清零,cnt,r,clk,CY,CY,13,带复位、进位的10进制计数器,Architecture bhv Of Count Is signal cnt: std_logic_vector(3 downto 0); Begin Process (clk,r) Begin If (clkEvent And clk = 1) then If ( r = 1 or cnt = 9 ) then cnt = X“0“; else cnt = cnt + 1 ; End if; End if; End Process;,二进制计数器,十进制清零?,14,带复位、进位的10进制计数器,Q = CNT; CY = 1 WHEN (CNT = 0) ELSE 0; END BHV;,进位产生,15,带复位、进位的10进制计数器,16,带复位、进位的10进制计数器,毛刺,17,毛刺,在PLD内部信号通过连线和逻辑单元传输时,有一定的延时。延时的大小与连线的长短和逻辑单元的数目有关,同时还受器件的制造工艺、工作电压、温度等条件的影响。信号的高低电平转换也需要一定的过渡时间。 由于上述因素,输入组合逻辑的多路信号并不是同时变化,造成其输出出现一些不正确的尖峰信号-毛刺。由于PLD内部寄生电容电感很小,这些毛刺就被保留并向下一级传递,从而影响到逻辑电路的稳定性。 时钟端口、异步清零和置位端口对毛刺信号十分敏感,任何一点毛刺都可能会使系统出错。,18,毛刺现象,毛刺,19,消除毛刺干扰,从根本上避免毛刺的手段避免多位同时变化 1.编码:计数器输出采用特殊编码如格雷码 2.结构:采用约翰逊计数器 消除毛刺影响的手段: 1. 组合逻辑的输出不作时钟信号、也不用作异步清零和置位信号 2. 毛刺在时钟触发沿后短时间存在,因而: a 可用作同步控制信号 b 经DFF采样后再输出可消除毛刺,20,毛刺特点,t1,毛刺,t2,t3,1触发沿后出现, 2存在时间短,21,带复位、使能10进制计数器-消除毛刺,Architecture bhv Of Count Is signal cnt: std_logic_vector(3 downto 0); Begin Q = CNT; Process (clk,CNT) Begin If (clkEvent And clk = 1) then If ( cnt = 9 ) then CY = 1; else CY = 0 ; End if; End if; End Process;,22,带复位、使能10进制计数器-消除毛刺,Clk Port?,CY Port?,Q Port?,23,带复位、使能10进制计数器,Timing Analysis,Summary,Worst-case,24,带复位、使能10进制计数器,Min Clk Period,25,099计数,1,!毛刺,26,带复位、使能10进制计数器,Process (clk,r,En) Begin If (clkEvent And clk = 1) then If ( r = 1 or CNT = 9) then cnt = x“0“; elsIf ( En = 1) then cnt = cnt + 1 ; End if; End if; End Process;,En有效时间 1个时钟周期,27,作业: 同步清零、同步置数十进制计数器74LS162 1. C
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 2025年建筑工程合同履约评价协议
- 铁路货物运输合同(GF-91-0402)2025年修订范本执行书
- 2025年安庆市人力资源服务有限公司招聘20人考前自测高频考点模拟试题及1套参考答案详解
- 2025年天津市和平区面向靖远籍招聘事业单位工作人员模拟试卷含答案详解
- 2025江苏镇江市精神卫生中心第一批编外岗位(非事业编制)招聘8人考前自测高频考点模拟试题附答案详解(完整版)
- 2025辽宁鞍山立山区教育局招聘2人模拟试卷及答案详解(各地真题)
- 2025昆明市盘龙区人民医院第二季度招聘编外人员(1人)模拟试卷有答案详解
- 2025年淮北师范大学公开招聘高层次人才90人考前自测高频考点模拟试题及答案详解(网校专用)
- 2025昆明市晋宁区残疾人联合会招聘编外人员(1人)模拟试卷及答案详解(夺冠)
- 2025年甘肃省兰州市肺科医院招聘工作人员14人模拟试卷及1套完整答案详解
- 食材采购协议书
- 项目驻地(营区)风险评估报告
- DL T 5745-2016 电力建设工程工程量清单计价规范
- 百白破疫苗效力评估的队列研究
- 田径比赛竞赛规则
- +Unit4+I+used+to+be+afraid+of+the+dark+SectionB+1a-1e课件2023-2024学年人教版九年级英语全册
- 2022新高考I卷II卷英语读后续写解读讲评及写作技巧指导课件
- 安徽省物业管理行业专题调研分析报告
- 2023国家电网作业安全风险管控典型生产作业风险定级库
- 媒介经营与管理(课程)课件
- 项目组织供应能力说明
评论
0/150
提交评论