




已阅读5页,还剩8页未读, 继续免费阅读
版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、 选择填空1 下列是EDA技术应用时涉及的步骤:A. 原理图/HDL文本输入; B. 适配; C. 时序仿真; D. 编程下载; E. 硬件测试; F. 综合请选择合适的项构成基于EDA软件的FPGA / CPLD设计流程:A _ _ _ D _2 PLD的可编程主要基于A. LUT结构 或者 B. 乘积项结构:请指出下列两种可编程逻辑基于的可编程结构:FPGA 基于 _CPLD 基于 _3 在状态机的具体实现时,往往需要针对具体的器件类型来选择合适的状态机编码。对于A. FPGA B. CPLD 两类器件:一位热码 状态机编码方式 适合于 _ 器件;顺序编码 状态机编码方式 适合于 _ 器件;4 下列优化方法中那两种是速度优化方法:_、_A. 资源共享 B. 流水线 C. 串行化 D. 关键路径优化单项选择题:5 综合是EDA设计流程的关键步骤,综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;在下面对综合的描述中,_是错误的。A. 综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件;B. 为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束;C. 综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系不是唯一的。 D. 综合是纯软件的转换过程,与器件硬件结构无关;6 嵌套的IF语句,其综合结果可实现_。A. 条件相与的逻辑B. 条件相或的逻辑C. 条件相异或的逻辑D. 三态控制电路7 在一个VHDL设计中Idata是一个信号,数据类型为std_logic_vector,试指出下面那个赋值语句是错误的。A. idata = “00001111”;B. idata = b”0000_1111”;C. idata = X”AB”;D. idata = B”21”;8 在VHDL语言中,下列对时钟边沿检测描述中,错误的是_。A. if clkevent and clk = 1 thenB. if falling_edge(clk) then C. if clkevent and clk = 0 thenD.if clkstable and not clk = 1 then9 请指出Altera Cyclone系列中的EP1C6Q240C8这个器件是属于_ A. ROM B. CPLD C. FPGA D.GAL10. 大规模可编程器件主要有FPGA、CPLD两类,下列对CPLD结构与工作原理的描述中,正确的是_。 A. CPLD是基于查找表结构的可编程逻辑器件; B. CPLD即是现场可编程逻辑器件的英文简称; C. 早期的CPLD是从GAL的结构扩展而来; D. 在Xilinx公司生产的器件中,XC9500系列属CPLD结构 11.综合是EDA设计流程的关键步骤,综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;在下面对综合的描述中,_是正确的。 A.综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件. B. 综合是纯软件的转换过程,与器件硬件结构无关; C. 为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为强制综合。 D. 综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系是唯一的; 12 IP核在EDA技术和开发中具有十分重要的地位,IP分软IP、固IP、硬IP;下列所描述的IP核中,对于硬IP的正确描述为_。 A.提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路; B. 提供设计的最总产品-模型库; C.以网表文件的形式提交用户,完成了综合的功能块; D. 都不是。 13.基于EDA软件的FPGA / CPLD设计流程为:原理图/HDL文本输入_综合 适配_编程下载硬件测试。 功能仿真 时序仿真 逻辑综合 配置 引脚锁定 A B. C. D. 14.下面对利用原理图输入设计方法进行数字电路系统设计,那一种说法是不正确的_。A. 原理图输入设计方法直观便捷,但不适合完成较大规模的电路系统设计;B. 原理图输入设计方法一般是一种自底向上的设计方法;C. 原理图输入设计方法无法对电路进行功能描述; D.原理图输入设计方法也可进行层次化设计。 15. 在VHDL语言中,下列对进程(PROCESS)语句的语句结构及语法规则的描述中,不正确的是_。 A. PROCESS为一无限循环语句;敏感信号发生更新时启动进程,执行完成后,等待下一次进程启动。 B. 敏感信号参数表中,不一定要列出进程中使用的所有输入信号; C. 进程由说明部分、结构体部分、和敏感信号三部分组成; D.当前进程中声明的变量不可用于其他进程。 16. 嵌套使用IF语句,其综合结果可实现_。 A. 带优先级且条件相与的逻辑电路;B. 条件相或的逻辑电路;C.三态控制电路;D.双向控制电路。 17. 电子系统设计优化,主要考虑提高资源利用率减少功耗-即面积优化,以及提高运行速度-即速度优化;指出下列那种方法不属于速度优化:_。 A. 流水线设计B. 串行化C. 关键路径法 D. 寄存器配平 18. 在一个VHDL设计中idata是一个信号,数据类型为integer,数据范围0 to 127,下面哪个赋值语句是正确的_。 A. idata := 32;B. idata = 16#A0#;C. idata = 16#7#E1;D. idata := B#1010#; 20.下列那个流程是正确的基于EDA软件的FPGA / CPLD设计流程:( ) A. 原理图/HDL文本输入适配综合功能仿真编程下载硬件测试B. 原理图/HDL文本输入功能仿真综合适配编程下载硬件测试C. 原理图/HDL文本输入功能仿真综合编程下载适配硬件测试;D. 原理图/HDL文本输入功能仿真适配编程下载综合硬件测试21.综合是EDA设计流程的关键步骤,综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;在下面对综合的描述中,_是错误的。 A. 综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件; B. 为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束; C. 综合是纯软件的转换过程,与器件硬件结构无关; D. 综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系不是唯一的。 22. CPLD的可编程是主要基于什么结构:。( ) A .查找表(LUT); B. ROM可编程; C. PAL可编程; D. 与或阵列可编程; 23. IP核在EDA技术和开发中具有十分重要的地位,以HDL方式提供的IP被称为:() A. 硬IP; B. 固IP; C. 软IP; D. 都不是;24.流水线设计是一种优化方式,下列哪一项对资源共享描述正确_。A. 面积优化方法,不会有速度优化效果B. 速度优化方法,不会有面积优化效果C. 面积优化方法,可能会有速度优化效果D. 速度优化方法,可能会有面积优化效果25.在VHDL语言中,下列对时钟边沿检测描述中,错误的是_。 A. if clkevent and clk = 1 then B. if falling_edge(clk) then C. if clkevent and clk = 0 then D.if clkstable and not clk = 1 then7状态机编码方式中,其中_占用触发器较多,但其实现比较适合FPGA的应用A. 状态位直接输出型编码B. 顺序编码C. 一位热码编码D. 以上都不是26. 子系统设计优化,主要考虑提高资源利用率减少功耗(即面积优化),以及提高运行速度(即速度优化);指出下列那种方法是速度优化_。 A. 流水线设计B. 资源共享 C. 逻辑优化D. 串行化27. 不完整的IF语句,其综合结果可实现_。A. 时序电路B. 双向控制电路C. 条件相或的逻辑电路D. 三态控制电路28在一个VHDL设计中Idata是一个信号,数据类型为std_logic_vector,试指出下面那个赋值语句是错误的。() A. idata = “00001111” B. idata = b”0000_1111”; C. idata = X”AB” D. idata = 16”01”;29大规模可编程器件主要有FPGA、CPLD两类,下列对CPLD结构与工作原 理的描述中,正确的是_。 A. CPLD即是现场可编程逻辑器件的英文简称; B. CPLD是基于查找表结构的可编程逻辑器件; C. 早期的CPLD是从GAL的结构扩展而来; D. 在Altera公司生产的器件中,FLEX10K 系列属CPLD结构; 30. 综合是EDA设计流程的关键步骤,综合就是把抽象设计层次中的一种表示 转化成另一种表示的过程;在下面对综合的描述中,_是错误的。 A.综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本 结构相映射的网表文件; B. 综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映 射过程,并且这种映射关系不是唯一的; C. 为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束。 D. 综合是纯软件的转换过程,与器件硬件结构无关; 31.嵌套使用IF语句,其综合结果可实现_。 A. 带优先级且条件相与的逻辑电路; B. 条件相或的逻辑电路; C. 三态控制电路; D. 双向控制电路。32.在一个VHDL设计中Idata是一个信号,数据类型为std_logic_vector,试指出 下面_赋值语句是错误的。 A. idata = “00001111”; B. idata = B”0000_1111”; C. idata = X”AB”; D. idata = B”21”; 33. 执行QUARTUS 的 命令,可以在底层设计时创建各模块元件的图形符号。 A. Create Default Symbol B. Simulator C. Compiler D. Timing Analyzer 34.在IEEE预定义的标准逻辑位数据中X表示 。 A强未知的 B. 强0 C. 强1 D. 高阻态 35.在下列操作中,最高优先级的运算操作符是 ( ) 。 A. NAND B. OR C. NOT D. XOR 36. QUARTUS 的图形设计文件类型是 ( ) 。 A. .scf B. .gdf C. .vhd D. .v 37.在VHDL中用 ( ) 来把特定的结构体关联到一个确定的实体。 A. 输入 B. 输出 C. 综合 D. 配置 38.不完整条件语句,其综合结果可实现_。 A. 时序逻辑电路 B. 组合逻辑电路 C. 双向电路 D. 三态控制电路 二、填空题 1. 在VHDL程序中,_和_是是两个必须的基本结构。 2. 在VHDL的端口声明语句中,端口方向包括 、 、 和 。 3. VHDL的数据对象分为_、_和_3类。 4. VHDL的进程(process)语句是由 组成的,但其本身却是 。 5. VHDL的操作符包括 、 、 和 。 6. VHDL的顺序语句只能出现在 、 和 中,是按照书 写顺序自上而下,一条一条执行。 7. QUARTUS 支持 、 、 等不同编辑方式。 8. 将软件描述与硬件结构联系的步骤称为_。 9. 指定设计电路的输入/输出端口与目标芯片引脚的连接关系的过程称 为 。 10. VHDL的描述风格通常可以归纳为_行为描述_、_数据流_和_结构描述_3种。 11. 当前最流行的并成为IEEE标准的硬件描述语言包括 和 。 12. VHDL的块语句是并行语句,它的内部是由_语句构成的。个进程之间进行并行联系是通过_来实现的。 14. variable只能在_进程_和_子程序_中使用,signal的使用和定义的范围是_实体_、_结构体_和_程序包_。 15. IEEE库中预定义的标准逻辑位的逻辑共有_种。3、 EDA名词解释,写出下列缩写的中文(或者英文)含义 1. FPGA 现场可编程门阵列 2. EDA 电子设计自动化 3. EAB 嵌入式阵列块 4. RTL 寄存器传输级 5. VHDL 超高速集成电路硬件描述语言 6. ASIC专用集成电路7. FPGA现场可编程门阵列8. CPLD复杂可编程逻辑器件9. EDA电子设计自动化10. IP知识产权核11. SOC单芯片系统 四、VHDL程序改错:(一)仔细阅读下列程序,回答问题LIBRARY IEEE;- 1USE IEEE.STD_LOGIC_1164.ALL;- 2ENTITY LED7SEG IS- 3PORT (A : IN STD_LOGIC_VECTOR(3 DOWNTO 0);- 4CLK : IN STD_LOGIC;- 5LED7S : OUT STD_LOGIC_VECTOR(6 DOWNTO 0);- 6END LED7SEG;- 7ARCHITECTURE one OF LED7SEG IS- 8SIGNAL TMP : STD_LOGIC;- 9BEGIN- 10SYNC : PROCESS(CLK, A)- 11BEGIN- 12IF CLKEVENT AND CLK = 1 THEN- 13TMP LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S null;(二)在程序中存在两处错误,试指出,并说明理由:在编译时,提示的第一条错误为:Error: Line 12: File e:myworktestcnt4.vhd: VHDL syntax error: If statement must have THEN, but found BEGIN instead12行,IF语句对应的关键字是then而非begin14行,Q1是矢量,不能直接和整数1相加,需要使用重载函数1. 修改相应行的程序(如果是缺少语句请指出大致的行数):错误1行号: 12 程序改为:BEGIN 改为 THEN错误2 行号: 3 程序改为:USE IEEE.STD_LOGIC_UNSIGNED.ALL;1LIBRARY IEEE;2USE IEEE.STD_LOGIC_1164.ALL;34ENTITY CNT4 IS 5 PORT ( CLK : IN STD_LOGIC ;6 Q : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) ; 7END CNT4; 8ARCHITECTURE bhv OF CNT4 IS9 SIGNAL Q1 : STD_LOGIC_VECTOR(3 DOWNTO 0); 10BEGIN 11 PROCESS (CLK) BEGIN12 IF RISING_EDGE(CLK) begin 13 IF Q1 15 THEN14 Q1 = Q1 + 1 ; 15 ELSE 16 Q1 0); 17 END IF;18 END IF; 19 END PROCESS ;20 Q = Q1;21END bhv; (三) library ieee; entity test is port(clk : in std_logic; count : out std_logic_vector(3 downto 0); end test; architecture beh of test is begin process(clk) begin if clkevent and clk=1 then count muxout muxout muxout muxout=d; end case; END ARCHITECTURE rtl;5、 VHDL程序填空:(一) - 8位分频器程序设计 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE._.ALL; ENTITY PULSE IS PORT ( CLK : IN STD_LOGIC; D : IN _ (7 DOWNTO 0); FOUT : OUT STD_LOGIC ); END; ARCHITECTURE one OF _ IS SIGNAL FULL : STD_LOGIC; BEGIN P_REG: PROCESS(CLK) _ CNT8 : STD_LOGIC_VECTOR(_ DOWNTO 0); BEGIN IF _ THEN IF CNT8 = 11111111 THEN CNT8 _; -当CNT8计数计满时,输入数据D被同步预置给计数器CNT8 FULL = 1; -同时使溢出标志信号FULL输出为高电平 ELSE CNT8 _; -否则继续作加1计数 FULL = 0; -且输出溢出标志信号FULL为低电平 END IF; END IF; END PROCESS P_REG; P_DIV: PROCESS(_) VARIABLE CNT2 : STD_LOGIC; BEGIN IF FULLEVENT AND FULL = 1 THEN CNT2 _; -如果溢出标志信号FULL为高电平,D触发器输出取反 IF CNT2 = 1 THEN FOUT = 1; ELSE FOUT = 0; END IF; END IF; END PROCESS P_DIV; END; (二)下面程序是一个10线4线优先编码器的VHDL描述,试补充完整。LIBRARY _ ;USE IEEE._ STD_LOGIC_1164_.ALL;ENTITY coder IS PORT ( din : IN STD_LOGIC_VECTOR(_9 DOWNTO 0_); output : _ OUT _ STD_LOGIC_VECTOR(3 DOWNTO 0) );END coder;ARCHITECTURE behav OF _ CODER _ IS SIGNAL SIN : STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN PROCESS (_DIN _) BEGIN IF (din(9)=0) THEN SIN = 1001 ; _ ELSIF (din(8)=0)_ THEN SIN = 1000 ; ELSIF (din(7)=0) THEN SIN = 0111 ; ELSIF (din(6)=0) THEN SIN = 0110 ; ELSIF (din(5)=0) THEN SIN = 0101 ; ELSIF (din(4)=0) THEN SIN = 0100 ; ELSIF (din(3)=0) THEN SIN = 0011 ; ELSIF (din(2)=0) THEN SIN = 0010 ; ELSIF (din(1)=0) THEN SIN = 0001 ; ELSE _ SIN = “0000” _ ; _ END IF _ END PROCESS ; _ Output = sin _;END behav;六、写VHDL程序:1. 数据选择器MUX,其系统模块图和功能表如下图所示。试采用下面四种方式中的两种来描述该数据选择器MUX的结构体。(a) 用if语句。 (b) 用case 语句。 (c) 用when else 语句。 (d) 用with select 语句。Library ieee;Use ieee.std_logic_1164.all;Entity mymux isPort (sel : in std_logic_vector(1 downto 0);- 选择信号输入Ain, Bin : in std_logic_vector(1 downto 0);- 数据输入Cout : out std_logic_vector(1 downto 0) );End mymux;Architecture one of mymux isBeginProcess (sel, ain, bin)BeginIf sel = “00” then cout = ain or bin; Elsif sel = “01” then c
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 2025河南洛阳伊川县伊兴源水资源开发有限公司及所属公司部分岗位招聘5人备考考试题库附答案解析
- 哲学疆域的新探索
- 行业新人自我介绍
- 恶意软件检测-第1篇-洞察及研究
- 手指画小蝌蚪课件
- 绿化变更咋不能退房 特殊要求要入合同8篇
- 统编版五年级语文上册新课标情境式命题真题卷(一)(含答案)
- 森林建筑竞赛活动方案设计
- 【公路水运工程施工企业主要负责人】考试题及答案
- 手太阴小肠经课件
- 燃气入户安检课件
- 普通黄金现货购买合同8篇
- 预防静电安全知识培训课件
- 三力测试考试题库及答案视频讲解
- 2025年河南省人民法院聘用书记员考试试题及答案
- 2025年中学教师资格考试《综合素质》核心考点与解析
- 2025党校中青班入学考试试题及答案
- 口腔冠延长术
- 部编版七年级语文上册《闻王昌龄左迁龙标遥有此寄》课件
- 诊所经营管理课件
- 2024年江苏省连云港市辅警协警笔试笔试模拟考试(含答案)
评论
0/150
提交评论