数字电路实验指导书.doc_第1页
数字电路实验指导书.doc_第2页
数字电路实验指导书.doc_第3页
数字电路实验指导书.doc_第4页
数字电路实验指导书.doc_第5页
已阅读5页,还剩30页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

实验二 组合逻辑电路(一) 一、实验目的 加深理解用SSI(小规模数字集成电路)构成的组合逻辑电路的分析与设计方法。 二、预习要求 1按设计步骤,根据所给器件设计实验内容1、2的逻辑电路图。 2,弄懂图5.16.3的工作原理与设计思想。 3在附录C中查出74LS00和74LS10的外引线排列图。 三、实验说明 组合逻辑电路是最常见的逻辑电路之一,其特点是在任一时刻的输出信号仅取决于该时刻的输入信号,而与信号作用前电路原来所处的状态无关。 组合逻辑电路的设计步骤如图5.16.1所示,先根据实际的逻辑问题进行逻辑抽象,定 义逻辑状态的含意,再按照给定事件因果关系列出逻辑真值表。然后用卡诺图或代数法化简,求出最简逻辑表达式。用给定的逻辑门电路实现简化后的逻辑表达式,画出逻辑电路图。 值得注意的是,这里所说的“最简”,是指电路所用的器件数最少,器件的种类最少,而且器件之间的连线也最少。 若已知逻辑电路,要分析电路功能,则分析步骤为:由逻辑图写出各输出端的逻辑表达式;列出真值表;根据真值表进行分析;确定电路功能。 四、实验内容1设计一个能判断一位二进制数A与B大小的比较电路。画出逻辑图(用L1、L2、L3分别表示三种状态,即L1(AB),L2(AB),L3(A=B)。设A、B分别接至数据开关,L1、L2、L3接至逻辑显示器(灯),将实验结果记入表5.16.1中。表5.16.12设A、B为数据选择控制端,Dl、D2、D3为数据输入端,L为输出端,试设计一具有表5.16.2所示功能的数据选择器。设A、B接至数据开关,D1接至高电平,D2、D3分别接至50Hz方波和正弦波(或其它可区别又便于观测的信号电压),试用手拨动数据开关,改变A、B状态,用示波器观测并记录输出端L的波形。3.设有一个监视交通信号灯工作状态的逻辑电路如图5.16.3(a)所示(图5.16.3(b)为四输人与非门74LS20外引线排列图)。图中用R、Y、G分别表示红、黄、绿三个灯(即一组灯)的状态,并规定灯亮时为1,不亮时为0。用L表示故障信号,正常工作时L为0,发生故障时L为1。试分析R、G、Y出现哪五种状态时,要求逻辑电路发出故障信号(L为1)。按图5.16.3(a)接线(若无四输入与非门,请用其它与非门适当组合代替),验证理论分析结果,并记入表5.16.3中。 图5.16. 3 监视交通信号灯工作状态的逻辑电路及74LS20管脚图 (a)逻辑电路 (b)74LS20 外引线排列图 五、实验报告要求 1列出实验内容l、2、3记录的数据和波形,并加以总结。 2总结数据选择器的作用及设计方法。 六、思考题有同学用完好的7412(OC门)代替74LSl0组装实验电路,发现无输出,试分析原因:7412外引线排列与74LSl0相同。七、注意事项TTL与非门多余的输入端可接高电平,以防引入干扰。八、实验元、器件集成块 74LS00 2片 74LS10 2片 74LS20 1片实验三 组合逻辑电路(二) 一、实验目的 1了解编码器、译码器、数据选择器等中规模数字集成电路(MSI)的性能及使用方法; 2用集成译码器和数据选择器设计简单的逻辑函数产生器。 二、预习要求 I在附录C中查出74LSl48、74LS04、74LS48及74LS283的外引线排列图和功能表。 2按实验内容2、3的要求,设计并画出逻辑电路图。 3弄懂图5.16.4的工作原理。 三、实验原理1 编码、译码、显示原理电路如图5.16.4所示。该电路由8线3线优先编码器74LSl48、4线七段译码器/驱动器74LS48、反相器74LS04和共阴极七段显示器等组成。表5.16.4:74LS151功能表 图5.16.4 编码、泽码、显示电路原理图2数据选择器的典型应用之一逻辑函数产生器八选一数据选择器74LS151的外引线排列图和功能表分别如图5.16.5和表5.16.4所示。由表5.16.4可以看出,当选通输入端ST=0时,Y是A2、A1、A0和输人数据D0D7的与或函数,它的表达式为(5.16.1)式中mi是A2、A1、A0构成的最小项,显然当Di=1时,其对应的最小项mi在与或表达式中出现。当Di=0时,对应的最小项就不出现。利用这一点,可以实现组合逻辑函数。 将数据选择器的地址选择输入信号A2、A1、A0作为函数的输入变量,数据输入D0D7作为控制信号,控制各最小项在输出逻辑函数中是否出现,选通输入端ST始终保持低电平,这样,八选一数据选择器就成为一个三变量的函数产生器。 例如,利用八选一数据选择器产生逻辑函数L=ABC+ABC+ABC+ABC+ABC,可以将此函数改成下列形式 L=m0D0+m2D2+m5D5+m6D6+m7D7 (5.16.2)式(5.16.2)符合式(5.16.1)的标准形式。考虑到式中没有出现最小项m1、m3、m4,因而只有D0=D2=D5=D6=D7=1,而D1=D3=D4=O。由此可画出该逻辑函数产生器的逻辑图如图5.16.6所示。 33线-8线译码器用于逻辑函数产生器和数据分配器3线-8线译码器74LSl38的外引线排列图和逻辑功能表分别如图5.16.7和表5.16.5所示。 图5.16.6 用74LSl51构成逻辑函数产生器 图5.16.7 74LSl38外引线排列图由图5.16.7和表5.16.5可以看出,该译码器有三个选通端:STA、STB和STC,只有当STA=1,STB=0、STC=0同时满足时,才允许译码,否则就禁止译码。设置多个选通端,使得该译码器能被灵活地组成各种电路。在允许译码条件下,由功能表5.16.5可写出若要产生图5.16.6所示的逻辑函数,则只要将输入变量A、B、C分别接到A2、A1、A0端,并利用摩根定律进行变换,可得 由此可画出其逻辑图如图5.16.8所示。 此外,这种带选通输入端的译码器又是一个完整的数据分配器,如果把图5.16.7中的STA作为数据辅入端,而将A2、A1、A0作为地址输入端,则当STB=STC=0时,从STA端来的数据只能通过由A2、A1、A0所确定的一根输出线送出去。例如,当A2A1A0=100时,STA的状态将以反码形式出现在Y4输出端。图5.16.8 用74LS138构成逻辑函数产生器 4用加法器组成一个代码转换电路,将BCD代码的8421码转成余3码。 以8421码为输入,余3码为输出,可得代码转换电路的逻辑真值表,如表5.16.6所示。由表中可见,Y3Y2Y1Y0和DCBA所代表的二进制数始终相差0011,即十进制数的3。故可得Y3Y2Y1Y0=DCBA+0011 (5.16.4)根据式(5.16.4),用一片4位加法器74LS283便可接成要求的代码转换原理电路,如图5.16.9所示。四、实验内容 1在图5.16.4所示原理电路中标出器件外引线管脚号,并接好线。将I0I7,分别接至数据开关,验证编码器74LS148和译码器74LS48的逻辑功能。记录实验结果。2. 试用数据选择器74LS151(或译码器74LS138和与非门)设计一个监测信号灯工作状态的逻辑电路。其条件是信号灯由红(用R表示)、黄(用Y代表)和绿(用G表示)三种颜色灯组成,正常工作时,任何时刻只能是红、绿或黄当中的一种灯亮。而当出现其它五种灯亮状态时,电路发生故障,要求逻辑电路发出故障信号。 五、实验报告要求1列出实验结果,总结本次实验体会。2,举例说明编码器、译码器、数据选择器的用途。六、思考题在图5.16.4中,74LS148的输出端Y0、Y1、Y2与74LS48的输入端联接时,为什么要加74LS04?七、注意事项TTL与非门多余输入端可接高电平,以防引入干扰。八、实验元、器件集成电路74LS148、74LS04、74LS48、74LS151、74LSl38、74LS10、74LS283各1片 共阴七段显示器 1块(实验箱中,已经将7448与七段显示器连接好了)实验四 集成触发器 一、实验目的 1熟悉并验证触发器的逻辑功能及相互转换的方法。 2掌握集成JK触发器逻辑功能的测试方法。 3,学习用JK触发器构成简单时序逻辑电路的方法。 4进一步熟悉用双踪示波器测量多个波形的方法。 二、预习要求 1复习触发器的基本类型及其逻辑功能。 2,掌握D触发器和JK触发器的真值表及JK触发器转换成D触发器、T触发器、T触发器的基本方法。2 按实验内容4、5的要求,分别设计同步时序脉冲输出器电路和同步三分频电路,其输出波形分别如图5.17.1和图5.17.4所示。 三、实验原理与参考电路 1集成触发器的基本类型及其逻辑功能。 按触发器的逻辑功能分,有RS触发器、D触发器、JK触发器、T触发器和T触发器。 按触发脉冲的触发形式分,有高电平触发、低电平触发、上升沿触发和下降沿触发以及主从触发器的脉冲触发等。 表5.17.1分别列出了时钟控制触发器的特性方程和功能表。 表5.17.1 时钟控制触发器 2触发器的转换 由于目前市场上供应的多为集成JK触发器和D触发器,很少有T触发器和T触发器,所以有时候我们要用一种类型的触发器代替另一种类型的触发器。这就需要进行触发器的转换。转换方法见表5.17.2。表5.17.2触发器的转换 本实验选用CMOS双JK触发器CD4027,其功能齐全、用途广泛。图5.17.2和表5.17.3分别示出CD4027的外引线排列和功能表。图5.17.3示出CD4023(三3输入端CMOS与非门)的外引线排列。 图5.17.2 CD4027外引线排列 图5.17.3 CD4023外引线排列从功能表中可知,CD4027是具有直接清零端、在CP上升沿翻转的边沿触发器。其最大工作频率为16MHz。 表 5.17.3 CD4027功能表 3用JK触发器设计简单的时序逻辑电路 触发器是构成各种时序逻辑电路的基本单元。一般同步时序逻辑电路的设计步骤大致如下: (1)根据给定的工作波形,确定计数器进制数N,从而确定触发器数目。,一般应满足:2n-1N2n。 (2)列出计数器状态表。 (3)由选用的JK触发器逻辑功能求出激励表。 (4)将状态表和激励表用卡诺图化简,得各触发器输入端和原态Qn之间的逻辑表达式(即驱动方程)。 (5)按驱动方程画计数器的逻辑电路图。 学生可参照以上步骤,自己设计实验内容中所要求的电路。 四、实验内容 1验证JK触发器的逻辑功能。 2将JK触发器转换成T触发器和D触发器,并验证其功能。 3将两个JK触发器连接起来,即第二个JK触发器的J、K端连接在一起,接到第一个JK触发器的输出端Q,输入1kHz方波,用示波器分别观察和记录CP、1Q、2Q的波形,理解二分频,四分频的概念。 4设计一个同步时序脉冲输出器,其输出波形如图5.17.1所示。用示波器观察和记录CP和输出L的波形。 5设计一个同步三分频电路,其输出波形如图5.17.4所示。用示波器观察和记录CP、1Q、2Q的波形。五、实验报告要求 1根据实验内容3,画出实验电路图,以及对应绘出所测CP、1Q、2Q的电压波形,标出幅值和周期。 2根据实验内容4.,画出实验电路图,并对应绘出CP和L的波形,标出幅值和周期。 3根据实验内容5.,画出实验电路图,并对应绘出CP、1Q、2Q的波形,标出幅值和周期。 六、思考题 1在本实验中,能用负方波代替时钟脉冲吗?为什么? 2观察同步时序逻辑控制器CP和L波形时,若CP信号送示波器CH1通道,输出L送CH2通道,“触发选择”置CH1通道,示波器上所显示的波形能稳定吗?若不能稳定,应如何选择触发电压? 七、注意事项 1本实验使用的集成芯片(CD4027和CD4023均为CMOS集成电路,因此必须严格遵守CMOS集成电路的使用规则。 2用示波器观察多个波形时,最好采用外触发方式,并且选用频率最低的电压作外触发电压。 八、实验元、器件 双JK触发器 CD4027 1片 三3输入与非门 CD4023 1片实验五 计数、译码、显示电路 一,实验目的 1掌握中规模集成计数器75LS161的逻辑功能。 2学习74LS48BCD译码器和共阴极七段显示器的使用方法。 3进一步熟悉用示波器测试计数器输出波形的方法。 二、预习要求 1复习计数、译码和显示电路的工作原理。 2预习中规模集成计数器74LS161的逻辑功能及使用方法。 3预习74LS48译码器和共阴极七段显示器的工作原理及使用方法。 4绘出十进制计数、译码、显示电路中各集成芯片之间的连接图。 三、实验原理与参考电路 计数、译码、显示电路是由计数器、译码器和显示器三部分组成的。 1计数器 计数器是典型的时序逻辑电路,它用来累计和记忆输入脉冲的个数。计数是数字系统中非常重要的基本操作,所以也是应用最广泛的逻辑部件之一。 集成计数器是中规模集成电路,其种类有很多。如果按各触发器翻转的次序分类,计数器可分为同步计数器和异步计数器两种。在同步计数器电路中,所有触发器都以输入计数脉冲为时钟脉冲,应翻转的触发器同时翻转。在异步计数器电路中,有的触发器以计数脉冲作为时钟脉冲,有的则以其它触发器的输出作为时钟脉冲,故而状态更新有先有后,称为异步;如果按照计数数字的增减分类,可分为加法计数器、减法计数器和可逆计数器三种;如果按计数器进位规律分类,可分为二进制计数器、十进制计数器和N进制计数器三种。 计数器常从零开始计数,所以应具有“置零(清除)”功能。此外计数器还有“预置数”的功能,通过预置数据于计数器中,可以使计数器从任意值开始计数。 常用集成计数器均有典型产品,不必自己设计,只需合理选用即可。下面介绍几种常用的集成计数器。 (1)74LS90异步二五十进制计数器 图5.18.1和表5.18.1示出74LS90的外引线排列图和功能表。表5.18.1 74LS90功能表74LS90是由二进制及五进制构成的十进制异步计数器,当计数脉冲由CP0输入,Q0作为输出,构成二进制计数器(也称二分频电路);计数脉冲由CP1输入,Q3、Q2、Q1作为输出,构成五进制计数器(Q3或Q2作为输出时,是五分频电路)。如果将输出Q0与输入CP1相连,Q3Q0作为输出, 则构成8421码的十进制计数器,计数顺序如 表5182(a)所示(Q,作为输出时,是十分频电路,占空比为20,如将Q:作为输出时,也是十分频电路,但占空比为40);如果将输出Q3与CP0相连,则构成5421码的十进制计数器,计数顺序如表5.18.2(b)所示(Q0作为输出时,是十分频电路,输出脉冲的占空比为50)。表5.18.2(a) 表1.18.2(b) Q0,与CP1连接的计数序列(8421码) Q3与CP0连接的计数序列(5421码) (2)74LS192同步十进制可逆计数器图5.18.2和表5.18.3分别示出74LS192的外引线排列图和功能表。表5.18.3 74LS192功能表 74LS192是同步十进制可逆计数器,具有双时钟和可预置功能。当清除端CR=1时,无论有无计数脉冲,Q3Q0均为0,即为异步清除。当置数端LD=0时,当下一计数脉冲到来时,数据输入端D3D0所置数据被并行送到输出端Q3Q0。 当CPD=1,计数脉冲从CPU送入,则在CP上升沿的作用下,计数器进行加计数,加到9后,进位输出端CO=0。 当CPU=1,计数脉冲从CPD送入,则在CP上升沿的作用下,计数器进行减计数,减到0后,借位输出端BO=0。 (3)74LS161 4位二进制同步计数器 图5.18.3 74LS161外引线排列图 图5.18.3和表5.18.4分别示出74LS161外引线排列图和功能表。 74LS161是TTL集成同步二进制计数器,它的主要功能为 异步清除:当CR=0时,无论有无CP,计数器立即清零,Q3Q0均为0,称为异步清除。同步预置:当LD=0时,在时钟脉冲上升沿的作用下,Q3=D3,Q2=D2,Q1=D1,Q0=D0。计数:当使能端ETP=ETT=1时,计数器计数。锁存:当使能端ETP=0或ETT=0时,计数器禁止计数,为锁存状态。 本实验选用74LS161同步二进制计数器,采用反馈方式构成十进制计数器。 反馈式十进制计数器一般有两种形式。其一,利用清除端CR构成。即:当Q3Q2Q1Q0=1010(十进制数10)时,通过反馈线强制计数器清零。如图5.18.4所示。该电路由于1010状态只是瞬间,它会引起译码电路的误动作,因此很少被采用。其二,利用预置端LD构成。把计数器输入端D0D1D2D3全部接地。 当计数器计到1001(十进制数9)时,利用Q3Q0反馈线使预置端LD=0则当第十个CP到来时,计数器输出端等于输入端电平,即:Q0=Ql=Q2=Q3=0。这样,可以克服利用清除端CR构成的计数器的缺点。利用预置端LD构成的计数器电路如图5.18.5所示。 图5.18.4 利用清零端的反馈式计数器 图5.18.5 利用置数端的反馈式计数器 以上介绍的是一片计数器工作的情况。在实际应用中,往往需要多片计数器构成多位计数状态。所以我们介绍一下计数器的级联方法。级联可分串行进位和并行进位两种。串行进位的级联电路如图5.18.6(a)所示。其缺点是速度较慢。并行进位(也称超前进位)如图5.18.6(b)所示。后者比前者的速度大大提高。 2译码器这里所说的译码器是将二进制数译成十进制数的器件。我们选用的74LS48是BCD码七段译码器兼驱动器。其外引线排列图和功能表分别如图5.18.7和表5.18.5所示。(a) 串行进位式2位十进制计数器 (b)并行进位式2位十进制计数器 74LS48具有以下特点: (1)消隐(灭灯)输入BI低电平有效。当BI=0时,不论其余输入状态如何,所有输出为零,数码管七段全暗,无任何显示。可用来使显示的数码闪烁,或与某一信号同时显示。译码时,BI=1。(2)灯测试(试灯)输入LT低电平有效。当LT=0(BI/RBO=1)时,无论其余输入为何状态,所有输出为l,数码管七段全亮,显示数字8。可用来检查数码管、译码器有无故障。译码时,LT=1。 3显示器 显示器采用七段发光二极管显示器,它可直接显示出译码器输出的十进制数。七段发光显示器有共阳接法和共阴接法两种。共阳接法就是把发光二极管的阳极都连在一起接到高电平上,与其配套的译码器为74LS46,74LS47;共阴接法则相反,它是把发光二极管的阴极都连在一起接地,与其配套的译码器为74LS48,74LS49。七段显示器的外引线排列图、共阴接法以及数字符号显示如图5.18.9(a)、(b)、(c)所示。 如果输入的频率较高时,显示器所显示的数字可能出现混乱或很快改变结果,这时,可在计数器后面加一级锁存器(如74LS273,八D触发器)。如果显示器所显示的数字暗淡,可加一级缓冲器(如74LS07,74LS17)或射随器来提升电流。本实验还用到CMOS四2输入与非门CD4011一片。其外引线排列图见实验一。 四、实验内容 1测试74LS161的逻辑功能(计数、清除、置数、使能及进位等)。CP选用手动单次脉冲或1Hz正方波。输出接发光二极管LED显示。 2按图5.18.5组装十进制计数器,并接入译码显示电路(各集成芯片之间的连线自画)。时钟脉冲选择1Hz正方波。观察电路的计数、译码、显示过程。 3将1Hz方波改为1kHz正方波,用示波器分别观测十进制计数器Q0、Q1、Q2、Q3的输出波形以及CP的波形,比较它们的时序关系。 4设计并组装六十进制计数器。要求当十位数字为0时,十位显示器不显示0。 五、实验报告要求 1画出十进制计数、译码、显示电路中各集成芯片之间的连接图。2用坐标纸对应时间轴,画出十进制计数器CP、Q0、Q1、Q2、Q3五个波形的波形图,标出周期,并比较它们的时序关系。 六、思考题 1用示波器观察CP、Q3Q0波形时,要想正确观察波形的时序关系,应选择什么触发方式?如果选用外触发方式,则应选哪个电压作为外触发电压? 2当计数器做加法计数时,要想观察到正确的输出波形,必须对示波器的触发斜率有正确的选择,你认为触发斜率旋钮应置(+)?还是(),做减法计数时,该旋钮应置(+)?还是()?为什么? 七、注意事项 1计数器(74LS161和与非门CD4011)闲置的输入端不能悬空。2检查显示器各段好坏时,可与译码器74LS48连接后,用LT=0来实现,也可由电源+5V接470电阻限流后接到显示器各段检查。八、实验元、器件计数器 74LS161 2片,译码器 74LS48 2片,共阴七段显示器 2片,四2输入与非门 CD4011 1片实验六 移位寄存器 一、实验目的 1掌握移位寄存器74LSl94的逻辑功能。 2学习三态门74LS125,计数器74LS93和译码器74LS138的使用。 3进步掌握用示波器观察多个波形时序关系的方法。 二、预习要求 1了解移位寄存器74LS194、二八进制计数器74LS93、3线8线译码器 74LSl38以及三态门74LS125的逻辑功能。 2自拟实验步骤和电路,画出图5.20.1所示串行移位电路的具体接线图。 3改画图5.20.5使之产生四个节拍Y0Y3。三、实验原理与参考电路图5.20.1所示电路可将预置的二进制数D3D2D1D0,在时序脉冲的控制下,经三态门后,串行移位输入到移位寄存器,并行输出至LED显示。该电路主要由三部分组成:1移位寄存器我们选用移位寄存器 74LS194。它是4位双向移位寄存器,最高时钟频率为36MHz。它具有并行输入、并行输出,左移和右移的功能。这些功能均通过模式控制端M1、M0来确定。详见表5.20.1。在D0D1D2D3端送入4位二进制数,并使M1=M0=1时,该4位二进制数同步并行输入至寄存器。当CP到来后,在CP上升沿的作用下,4位二进制数并行输出;若M1=0,M0=1,则该4位二进制数被串行送入到右移数据输入端DSR,在CP上升沿作用下,同步右移;若M1=1, M0=0,数据同步左移;若M1=M0=0,寄存器保持。74LS194的外引线排列图如图5.20.2所示。其功能表如表5.20.2所示。d0d3-D0D3端的稳态输入电平Q00、Q10、Q30-规定稳态输入条件建立前Q0、Q1、Q2、Q3的电平Q0n、Q1n、Q2n、Q3n时钟上升沿 前Q0、Q1、Q2、Q3的电平2三态门74LS12574LS125为4个总线缓冲门,是实现三种输出状态的电路。这三种状态为逻辑1、逻辑0和浮空状态(高阻状态)。当使能端EN为高电平时,输出断开(禁止),而EN为低电平时,输出等于输入。其延迟时间为8ns。74LS125的外引线排列图和真值表分别见图5.20.3和表5.20.3。3时序脉冲产生器 时序脉冲产生器也称节拍脉冲产生器,是计算机及通信设备经常使用的一种逻辑部件。它具有多个输出端,在这些输出端上能按一定的时间顺序逐个地出现节拍控制脉冲。时序脉冲产生器一般分为两类:一类是移位寄存器型,另一类是计数译码型。图5.20.4所示是由74LS194构成的移位寄存器型环形计数器。在循环前,先使M1=M0=1,让预置数并行置入,然后再改变M1、M0的电平,使预置数左循环或右循环。例如,当图5.20.4接成右循环状态时,假设预置数为0111,则环形计数器的有效时序为0111101111011110,然后又回到0111。该环形计数器的缺点是,循环前必须要预置一个初始状态。 图5.20.5是计数译码型时序脉冲产生器。它是由计数器 74LS93和译码器74LS138组成的。 计数器74LS93是4位二进制计数器。计数频率最高可达16MHz。它包含4个主从JK触发器和附加门,是二-八进制的计数器。当CP从CP0输入,从Q0输出时,为二分频计数器;当CP从CP1输入,从Q1、Q2、Q3输出时,为八分频计数器,两计数器彼此独立。而当CP从CP0输入,输出Q0接CP1,这就构成了十六进制计数器。其功能和计数时序分别见表5.20.4和5.10.5,其外引线排列见图5.20.6。译码器74LS138是3线-8线译码器。实验二中已有介绍,此处不再赘述。 图5.20.5所示计数译码型时序脉冲产生器可以产生8个节拍的脉冲,不会出现无效时序,本实验只需要4个节拍的时序脉冲,所以,该电路需要改接。 四、实验内容 1参照表5.20.2,测试移位寄存器74LS194的逻辑功能。Q0Q3接LED显示,CP接手动单次脉冲或1Hz方波,M1、M0接SW1、SW2。 2参照表5.20.4,测试计数器74LS93的计数功能。Q0Q3,接LED显示,CP用1Hz方波。 3照图5.20.4组装移位寄存器型环形计数器。选单次手动脉冲或1Hz方波作为CP输入,D0D3用SW1SW4分别预置二进制数0001、0101、0111观察数据的循环过程。 4组装时序脉冲产生器。先按图5.20.5组装8个节拍的时序脉冲产生器,CP1选1kHz方波。在示波器上分别观察74LS138的输出Y0Y7;然后改接电路,使之变成4个节拍的时序脉冲产生器。5按图5.20.1组装串行移位电路,将74LS125三态门的输入端接数据开关SW1-SW4,并置SW1SW4为0001,CP选手动单次脉冲或1Hz方波。观察0001 4位二进制数串行输入至74LS194的右(左)移端,并行输出的传输过程。6将手动单次脉冲或1Hz方波改为lkHz方波,观察并记录CP波形、时序脉冲产生器输出Y0、Y1、Y2、Y3波形、移位寄存器74LS194串行输入右移端(左移端)DSR(DSL)波形,以及输出端Q0、Q1、Q2、Q3的波形。最后比较它们之间的时序关系。 五、实验报告要求1画出节拍脉冲产生器只产生四个节拍的电路图。2画出串行移位电路的实验电路图。3在坐标纸上对应绘出CP、Y0、Y1、Y2、Y3、DSR、Q0、Q1、Q2、Q3 10个波形的波形图,并比较它们之间的时序关系。 六、思考题 实验内容6中,10个波形的翻转哪些是在CP的上升沿?哪些是在CP的下降沿?七、注意事项1集成芯片74LS93的电源和地与大多数集成芯片不同。它的正电源Vcc为第5脚,而接地端为第10脚,使用时要特别注意,以免接错,造成器件损坏。 2图5.20.4所示移位寄存器型环形计数器在循环前必须预置一个初始状态(即被循环的二进制数)。所以,必须先使M0=M1=1,让初始状态并行输出到Q0Q3,然后改变M0、M1电平,进行循环。 3三态门74LS125的输出端线与后,严禁两个或两个以上的三态门同时选通。即节拍脉冲产生器所产生的脉冲不能同一时刻出现。八、实验元、器件移位寄存器 74LS194 1片,计数器 74LS93 1片,3线8线译码器 74LS138 1片,三态门 74LS125 1片实验七 555集成定时器及应用(一) 一、实验目的 1熟悉555集成定时器的组成及工作原理。 2掌握用定时器构成单稳态电路、多谐振荡电路和施密特触发电路等。 3进一步学习用示波器对波形进行定量分析,测量波形的周期、脉宽和幅值等。 二、预习要求 1了解555集成定时器的外引线排列和功能。 2熟悉用555集成定时器和外接电阻、电容构成的单稳触发器、多谐振荡器和施密特触发器的工作原理。 3计算实验内容6中变音信号发生器两种声音的频率和持续时间。 三、实验原理与参考电路 1555集成定时器简介 555集成定时器是模拟功能和数字逻辑功能相结合的一种双极型中规模集成器件。外加电阻、电容可以组成性能稳定而精确的多谐振荡器、单稳电路、施密特触发器等,应用十分广泛。 555定时器的内部原理框图和外引线排列图如图5.22.1所示。它是由上、下两个电压比较器、三个5k电阻、一个RS触发器、一个放电三极管T以及功率输出级组成。比较器C1的反相输入端接到由三个5k电阻组成的分压网络的Vcc处(也称控制电压端),同相输入端为阈值电压输入端。比较器C2的同相输入端接到分压电阻网络的Vcc处,反相输入端为触发电压输入端,用来启动电路。两个比较器的输出控制RS触发器。当比较器C2端的触发输入电压V2Vcc、比较器C1端的阈值输入电压V6Vcc,V6Vcc时,S=0,R=1,触发器被复位(置0),Q=1,放电三极管T导通。此外,RS触发器还设有复位端RD,当复位端处于低电平时,输出为低电平。控制电压端是比较器C1的基准电压端,通过外接元件或电压源可改变控制端的电压值,即可改变比较器C1、C2的参考电压。不用时可将它与地之间接一个0.01的电容,以防止干扰电压引入。555的电源电压范围是+4.5+18v,输出电流可达100200mA,能直接驱动小型电机、继电器和低阻抗扬声器。 综上所述,不难得出555定时器的基本功能如表5.22.1所示。 2555定时器的应用 (1)单稳态电路单稳态电路的组成如图5.22.2所示。当电源接通后,Vcc通过电阻R向电容C充电,待 电容上电压Vc上升到Vcc时,RS触发器置0,即输出Vo为低电平,同时电容C通过三极管T放电。当触发端的外接输入信号电压VI1000pF。值得注意的是:VI的重复周期必须大于tP0,才能保证每一个正倒置脉冲起作用。由上式可知,单稳态电路的暂态时间与Vcc无关。因此用555定时器组成的单稳电路可以作为较精确定时器。 (2)多谐振荡器多谐振荡器电路如图5.22.3所示。电源接通后,Vcc通过电阻R1、R2向电容C充电。电容上的电压按指数规律上升,当Vc上升至Vcc时,因Vc与阈值输入端相连,有Vc=V6,使比较器C1输出翻转,输出电压Vo=0,同时,放电管T导通,电容C通过R2放电;当电容上电压Vc下降至Vcc时,比较器C2工作,输出电压Vo变为高电平,C放电终止,Vcc通过电阻R1、R2又开始充电;周而复始,形成振荡。其振荡周期与充放电的时间有关。充电时间:放电时间: 振荡周期: 振荡频率:占空系数:该电路的最高输出频率为200kHz。 (a)内部原理框图 (b)外引排列图图5.22.1 555集成定时器 由上分析可知: 电路的振荡周期T、占空系数D,仅与外接元件R1、R2和C有关,不受电源电压变化的影响。 改变R1、R2,即可改变占空系数,其值可在较大范围内调节。 改变C的值,可单独改变周期,而不影响占空系数。 另外,复位端也可输入一控制信号。复位端为低电平时,电路停振。 (3)施密特触发器 施密特触发器如图5.22.4所示。其回差电压为Vcc。在电压控制端外接可调电压VRd(1.55V),可以改变回差电压。 四、实验内容 1,用555集成定时器构成单稳态电路。按图5.22.2接线。当R=5.1k,C=0.1时,合理选择输入信号VI的频率和脉宽,以保证TtPO,使每个正倒置脉冲起作用。加输入信号后,用示波器观察VI、Vc以及Vo的电压波形,比较它们的时序关系,绘出波形,并在图中标出周期、幅值、脉宽等。 2按图5.22.3所示电路组装占空系数可调的多谐振荡器。取R1=10k,R2=100 k(电位器),C=10,调节电位器Rp(R2),在示波器上观察输出波形占空系数的变化情况。并观察占空系数为1:2、1:4、3:4时的输出波形。 3在图5.22.3中,若固定R1=5.1k,R2=4.6k,C=0.1 时,用示波器观察并描绘VO和Vc波形的幅值、周期以及tpH和tpL,标出Vc各转折点的电平。 4按图5.22.4所示电路组装施密特触发器。输入电压为ViPP=3V,f=1 kHz的正弦波。用示波器观察并描绘VI和VO波形。注明周期和幅值,并在图上直接标出上限触发电平、下限触发电平,算出回差电压。 5图5.22.4所示电路中,在电压控制端分别外接2v、4v电压,在示波器上观察该电压对输出波形的脉宽、上、下限触发电平以及回差电压有何影响。 6,用两片555定时器构成变音信号发生器,其电路如图5.22.5所示。它能按一定规律发出两种不同的声音。这种变音信号发生器是由两个多谐振荡器组成。一个振荡频率较低,另一个振荡频率受其控制。适当调整电路参数,可使声音达到满意的效果。 7图5.22.6所示为“叮咚”门钤电路。由定时器555和R1、R2、R3、C2组成多谐振荡器。按钮A未按下时,555的复位端RD通过R4接地,为低电平,所以振荡器不工作。按下A后,电源Vcc通过二极管D1向电容C1充电,VC1逐渐升高,当Vc1变为高电平时,即RD=1,振荡器开始工作,喇叭发出声音。因按钮A通过D2将R1短接,故振荡频率较高,发出“叮”声。松开按钮A,C1上的电压继续维持RD等于高电平,振荡器继续振荡,此时,R1串接入定时电路,所以振荡频率较前变低,发出“咚”声。同时c1通过R4放电,当c1上的电压放完,RD=0,振荡器停止工作,喇叭也就停止发声。 五、实验报告要求 1整理实验数据,画出实验内容中所要求画的波形,按时间坐标对应标出波形的周期、脉宽和幅值等。 2根据实验内容6.,记录下你所满意的变音信号发生器最后调试的电路参数。并说明你的变音发生器可以用于哪个地方。 六、思考题 1实验内容2中,改变电容c的大小能够改变振荡器输出电压的周期和占空系数吗?试说明要想改变占空系数,必须改变哪些电路参数。 2试设计一个过压报警器,用声(喇叭)和光(发光二极管)同时报警。当工作电压超过+10V时,喇叭发出报警声,同时发光二极管闪烁,闪烁频率为2Hz。 3实验内容6中,若将前级的输出信号加到后一级的放电端,声音将会如何变化? 七、注意事项 1单稳态电路的输入信号选择要特别注意。VI的周期丁必须大于Vo的脉宽tP0,并且低电平的宽度要小于Vo的脉宽tP0。 2所有需绘制的波形图均要按时间坐标对应描绘,而且要正确选择示波器的AC、DC输入方式,才能正确描绘出所有波形。在图中标出周期、脉宽以及幅值等。 八、实验元、器件 集成定时器 NE555 2片,电阻 100k、10k各2只;51 k、5.1 k、4.7 k各1只;33 k、10k 各3只 电容 100F、47F、30F、 10F、O.1F、O.02F、2200pF各1只,电位器 100 k 1只 喇叭 8、500 各1只实验八 555集成定时器及应用(二) 一、实验目的 1进一步熟悉555集成定时器的组成及工作原理。 2掌握利用555定时器构成若干实用电路的设计方法。 3学会利用555定时器构建振动防盗报警器等实用电子电路。 二、预习要求 1了解振动防盗报警器等实用电子电路的应用要求。 2根据要求,用555集成定时器和若干外接电阻、电容、三极管、振动探测器等设计实用振动防盗报警器。要求画出电路原理图。 3计算电路参数,给出元器件的型号规格和数量。 三、实验原理说明 1振动探测器:是由压电陶瓷振动感应片和放大电路组成。机械振动会使压电片产生交变电压,经放大器放大后在输出端输出交流信号。因此,可以通过调整放大器的增益来改变探测灵敏度。 555集成定时器可构成多谐振荡器:作为报警讯响器。单稳电路:可作为探测到振动后报警延时电路。 四、实验内容 1、根据预习时设计的振动防盗报警器等实用电子电路,开出材料单,领取合适的元器件。搭建电路,实现设计目标。 2、调节电路参数使其达到最佳效果。 五、实验报告要求1 根据应用要求,设计出合理的电路。画出电路原理图。 2.。根据实验结果,说明电路的工作原理和设计思想,以及改进方向和措施等。实验九 数模转换器 一、实验目的 1熟悉数模转换器的工作原理。 2学会使用集成数模转换器DAC 0808 (DAC0832)。 3学会用DAC0808构成阶梯波电压产生器。 二、预习要求 1了解集成数模转换器DAC0808芯片的外引线排列。 2熟悉数模转换器的转换原理。 3参照图5.24.6,自拟阶梯波产生器的实验电路和实验步骤。 三、实验原理与参考电路 数模转换器(简称DA转换器、DAC)用来将数字量转换成模拟量。其输入为n位二进制数,输出为模拟电压(或电流)。 1数模转换原理简介 D/A转换电路形式较多,在集成电路中多是采用倒置的R-2R梯形网络。图5.24.1所示为一个4位二进制数D/A转换器的原理电路。它包括由数码控制的双掷开关和由电阻构成的分流网络两部分。输入二进制数的每一位对应一个2R电阻和一个由该位数码控制的开关。为了建立输出电流,在电阻分流网络的输入端接人参考电压VREF。当某位输入码为0时,相应的被控开关接通右边触点,电流Ii(i=0,1,2,3)流入地;输入数码为1时,开关接通左边触点电流Ii流入外接运算放大器。 根据运算放大器的虚地概念可以得知:分别从虚线A、B、C、D处向右看的二端网络 等效电阻都是2R。所以 假设开关都接通左触点,则有 从网络中的P点到运算放大器虚地点的等效电阻为R。所以 设S0、S1、S2、S3分别为各位数码的变量,且Si=1表示开关接通左边

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论