




已阅读5页,还剩38页未读, 继续免费阅读
版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
FPGAFPGA期末复习大题期末复习大题 库库 班班 级:级: 电气电气 N132 姓姓 名:名: 学学 号:号: 成成 绩:绩: 1 IP 核在 EDA 技术和开发中具有十分重要的地位;提供用 VHDL 等硬件描述语言描述的 功能块,但不涉及实现该功能块的具体电路的 IP 核为_。A A .软 IP B.固 IP C.硬 IP D.都不是 2 综合是 EDA 设计流程的关键步骤,在下面对综合的描述中,_是错误的。D A. 综合就是把抽象设计层次中的一种表示转化成另一种表示的过程; B. 综合就是将电路的高级语言转化成低级的,可与 FPGA / CPLD 的基本结构相映射的 网表文件; C. 为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束; D. 综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并 且这种映射关系是唯一的(即综合结果是唯一的) 。 3 大规模可编程器件主要有 FPGA、CPLD 两类,下列对 FPGA 结构与工作原理的描述中, 正确的是_C_。 A. FPGA 是基于乘积项结构的可编程逻辑器件; B. FPGA 是全称为复杂可编程逻辑器件; C. 基于 SRAM 的 FPGA 器件,在每次上电后必须进行一次配置; D. 在 Altera 公司生产的器件中,MAX7000 系列属 FPGA 结构。 4 进程中的变量赋值语句,其变量更新是_。A A. 立即完成; B. 按顺序完成; C. 在进程的最后完成; D 都不对。 5 VHDL 语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两 部分,结构体描述_。D A. 器件外部特性; B. 器件的综合约束; C. 器件外部特性与内部功能; D. 器件的内部功能。 6 不完整的 IF 语句,其综合结果可实现_。A A. 时序逻辑电路 B. 组合逻辑电路 C. 双向电路 D. 三态控制电路 7 子系统设计优化,主要考虑提高资源利用率减少功耗(即面积优化) ,以及提高运行速 度(即速度优化) ;指出下列哪些方法是面积优化_。B 流水线设计 资源共享 逻辑优化 串行化 寄存器配平 关 键 路径法 A. B. C. D. 8 下列标识符中,_是不合法的标识符。B A. State0 B. 9moon C. Not_Ack_0 D. signall 9 关于 VHDL 中的数字,请找出以下数字中最大的一个:_。A A. 2#1111_1110# B. 8#276# C. 10#170# D. 16#E#E1 10下列 EDA 软件中,哪一个不具有逻辑综合功能:_。B A. Max+Plus II B. ModelSim C. Quartus II D Synplify 11 综合是 EDA 设计流程的关键步骤, 综合就是把抽象设计层次中的一种表示转化成另一种 表示的过程;在下面对综合的描述中,_是错误的。 C A. 综合就是将电路的高级语言转化成低级的,可与 FPGA / CPLD 的基本结构相映射 的网表文件; B. 综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并 且这种映射关系不是唯一的; C. 综合是纯软件的转换过程,与器件硬件结构无关; D. 为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束。 12 基于 EDA 软件的 FPGA / CPLD 设计流程为:原理图/HDL 文本输入_综合 适配_编程下载硬件测试。 B 功能仿真 时序仿真 逻辑综合 配置 引脚锁定 A B. C. D. 13 下面对利用原理图输入设计方法进行数字电路系统设计, 那一种说法是不正确的_。 B A 原理图输入设计方法直观便捷,但不适合完成较大规模的电路系统设计; B 原理图输入设计方法无法对电路进行功能描述; C 原理图输入设计方法一般是一种自底向上的设计方法; D 原理图输入设计方法也可进行层次化设计。 14 在 VHDL 语言中,下列对进程(PROCESS)语句的语句结构及语法规则的描述中,正 确的是_。A A PROCESS 为一无限循环语句;敏感信号发生更新时启动进程,执行完成后,等待下 一次进程启动。 B 敏感信号参数表中,应列出进程中使用的所有输入信号; C 进程由说明部分、结构体部分、和敏感信号参数表三部分组成; D 当前进程中声明的信号也可用于其他进程。 15 嵌套使用 IF 语句,其综合结果可实现_。A A 带优先级且条件相与的逻辑电路; B 条件相或的逻辑电路; C 三态控制电路; D 双向控制电路。 16 电子系统设计优化,主要考虑提高资源利用率减少功耗-即面积优化,以及提高运行 速度-即速度优化;指出下列那种方法不属于速度优化:_。A A. 资源共享 B. 流水线设计 C. 寄存器配平 D. 关键路径法 17 在一个 VHDL 设计中 idata 是一个信号,数据类型为 integer,下面哪个赋值语句是不正 确的_。D A idata 0 ) ; elsif clkevent and clk=1 then 边沿检测 if load = 1 then 同步置数 reg8 0_; 清零 elsif _ clkevent and clk = 1_ then 边沿检测 if load = 1 then count int_reg int_reg int_reg int_reg int_reg int_reg NULL; END CASE; END IF ; END PROCESS; Datout 0_; 清零 elsif _ lkevent and clk = 1_ then 边沿检测 if load = 1 then count 0_; - 计数器清零复位 else if _clkevent and clk=1_ then - 上升沿判断 if en = 1 then if cqi(3 downto 0) 0); end if; _cqi(3 downto 0=”0000”_; - 低4位清零 end if; end if; _ end if _; end if; if cqi = _“10011001”_ then - 判断进位 输出 cout cout cout cout cout if ina = “00” then n_st if ina = “00” then n_st if ina = “11” then n_st if ina = “11” then n_st n_st 0); ELSIF RISING_EDGE(CLK) THEN CASE C_ST IS WHEN S0 = IF INA = “101“ THEN OUTA IF INA = “000“ THEN C_ST IF INA = “100“ THEN C_ST IF INA = “101“ THEN OUTA C_ST 0); END CASE; END IF; END PROCESS; END ONE; - MEALY型状态机型状态机 ARCHITECTURE TWO OF EX7 IS TYPE STATE IS (S0, S1, S2, S3); SIGNAL C_ST, N_ST : STATE; BEGIN REG : PROCESS (CLK, RESET) BEGIN IF RESET = 1 THEN C_ST N_ST OUTA OUTA IF INA = “101“ THEN OUTA N_ST 0); END CASE; END PROCESS; END TWO; (一)已知状态机状态图如图(一)已知状态机状态图如图(a)所示;完成下列各题:所示;完成下列各题: 1.试判断该状态机类型,并说明理由。试判断该状态机类型,并说明理由。 该状态机为该状态机为 moore 型状态机,输出数据型状态机,输出数据 outa 和输入和输入 ina 没有直接逻辑关系,没有直接逻辑关系,outa 是时是时 钟钟 clk 的同步时序逻辑。的同步时序逻辑。 2.根据状态图,写出对应于结构图(根据状态图,写出对应于结构图(b) ,分别由主控组合进程和主控时序进程组成的) ,分别由主控组合进程和主控时序进程组成的 VHDL 有限状态机描述有限状态机描述 Library ieee; Use ieee.std_logic_1164.all; Entity mooreb is Port (clk, reset : in std_logic; Ina : in std_logic_vector (1 downto 0); Outa : out std_logic_vector (3 downto 0) ); End mooreb; Architecture one of mooreb is Type ms_state is (st0, st1, st2, st3); Signal c_st, n_st : ms_state; Begin Process (clk, reset) Begin If reset = 1 then c_st if ina = “00” then n_st if ina = “00” then n_st if ina = “11” then n_st if ina = “11” then n_st n_st = st0; End case; End process; End one; 3.若已知输入信号如下图所示,分析状态机的工作时序,画出该状态机的状态转换值若已知输入信号如下图所示,分析状态机的工作时序,画出该状态机的状态转换值 (current_state)和输出控制信号)和输出控制信号(outa); 4.若状态机仿真过程中出现毛刺现象,应如何消除;试指出两种方法,并简单说明其原理。若状态机仿真过程中出现毛刺现象,应如
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 食药安全科培训内容课件
- 2025中医执业医师考前冲刺试卷附答案详解(培优A卷)
- 2025年北京市国防动员办公室直属事业单位招聘笔试备考题库及答案详解一套
- 2024医院三基考试考试黑钻押题附参考答案详解(模拟题)
- 2025自考专业(人力资源管理)模拟题库及参考答案详解(精练)
- 2025中医执业医师全真模拟模拟题含答案详解(研优卷)
- 2025年自考专业(建筑工程)考前冲刺练习题(综合题)附答案详解
- 自动扶梯安全回路培训课件
- 2025中考数学总复习《数据与统计图表》考试综合练习附答案详解【预热题】
- 2025计算机四级真题含答案详解(A卷)
- 四川蜀道养护集团有限公司招聘笔试题库2025
- 高一历史第一次月考卷02(考试版)(新高考适用)
- 2025年家政服务员劳务合同范文
- 2025-2026学年高一数学上学期第一次月考试题(考试版A4)
- 建筑公司法务知识培训课件
- 2025.9.3抗战胜利大阅兵初高中学生征文(高中):观九三阅兵有感
- 电梯维保流程课件
- 70周岁老人驾考三力测试题库及答案
- 2025年《临床执业医师》考试试卷及参考答案
- 镇静催眠药物临床合理使用指南
- 儿科泌尿道感染护理查房
评论
0/150
提交评论