数码管动态显示电路1.ppt_第1页
数码管动态显示电路1.ppt_第2页
数码管动态显示电路1.ppt_第3页
数码管动态显示电路1.ppt_第4页
数码管动态显示电路1.ppt_第5页
已阅读5页,还剩2页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

数码管动态显示电路设计 一、实验目的 1.了解数码管的工作原理。 2.学习七段数码管显示译码器的设计。 3.学习VHDL的CASE语句及多层次设计方法。 二、实验原理 七段数码管是电子开发过程中常用的输出显示设备。实验箱中七段数码管采用共阴极,当数码管的中的哪一个段被输入高电平,则相应的这一段被点亮。四位一体的七段数码管在单个静态数码管的基础上加入了用于选择哪一位数码管的位选信号端口。,八个数码管的a、b、c、d、e、f、g、dp都连在了一起,8个数码管分别由各自的位选信号来控制,被选通的数码管显示数据,其余关闭。 这样对于一组数码管动态扫描显示需要有两组信号来控制:一组是字段输出口输出的字形代码,用来控制显示的字形,称为段码;另一组是位输出口的控制信号,用来选择第几位数码管工作,称为位码。,三实验内容 本实验要求完成的任务是在时钟信号的作用下,通过输入的键值在数码管上显示相应的键值。在实验中时,选择合适的时钟作为扫描时钟,用四个拨动开关做为输入,当四个拨动开关置为一个二进制数时,在数码管上显示其十六进制的值。,四、参考源程序 五、管脚锁定 1.时钟的锁定 2.输入输出引脚的锁定 六、实验思考与提高 该实验八个动态数码管显示的数字是同样的数字,它是使用输入端口来指定的,请各位同学思考假设要求8个数码管显示不同的数字或符号,应该怎样做,如何修改程序。,参考源代码 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity dtsm is port( clk : in std_logic; -定义动态扫描时钟信号 k : in std_logic_vector(3 downto 0); -定义四位输入信号 ledag : out std_logic_vector(6 downto 0); -定义七位输出信号 del : buffer std_logic_vector(2 downto 0) -定义八位数码管位置显示信号 ); end dtsm; architecture beha of dtsm is Signal key:std_logic_vector(3 downto 0); begin,process(clk) variable dount : std_logic_vector(2 downto 0); begin if clkevent and clk=1 then -检测时钟上升沿 dount:=dount+1; -计数器dount累加 end if; delkeykeykeykeykeykeykeykey=k+“0111“; end case; end process;,process(key) begin case key is when “0000“ = ledag ledag ledag ledag ledag ledag ledag ledag ledag ledag ledag l

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论