时序分析教程.doc_第1页
时序分析教程.doc_第2页
时序分析教程.doc_第3页
时序分析教程.doc_第4页
时序分析教程.doc_第5页
已阅读5页,还剩4页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

一、 时序分析术语1. 时序分析的基本模型时序分析是FPGA的重中之重。开始之前请记住时序分析的基本模型Tco为经过寄存器R1的传输延时Tdelay为经过组合逻辑的传输延时Tsetup为R2本身的建立时间; Tpd(clock slew)为时钟到R1和R2的偏差2. Launch edge 和 Latch edgeLaunch edge 和 Latch edge分别是时序分析的起点和终点。需要指出的是Latch edge时间=Launch edge时间+期望系统周期时间3. Data Arrival Time和Data Required Time这两项时间是TimeQuest时序分析的基础,所有的建立时间余量和保持时间余量都是根据这两项时间来决定的。l Data Arrival Time = Launch Edge + Source Clock Delay + tCO +Register-to-Register Delayl Data Required Time = Latch Edge + Destination Clock Delay tSU需要注意的是Data Arrival Time和Data Required Time在分析不同的时序节点时,计算的公式有所差别。4. 建立时间余量Clock Setup Slack建立关系是指寄存器R1发送的数据在下一次更新(更换)之前,寄存器R2可用最短时间去锁存数据建立时间余量是指从Launch edge经过一些列延迟数据输出稳定后到Latch edge的长度。Clock Setup Slack=Data Required Time-Data Arrival Timel Internal Register-to-Register pathsData Arrival Time = Launch Edge + Clock Network Delay to Source Register + tCO + Register-to-Register DelayData Required Time = Latch Edge + Clock Network Delay to Destination Register tSU Setup Uncertaintyl Input Port to Internal RegisterData Arrival Time = Launch Edge + Clock Network Delay + Input Maximum Delay + Port-to-Register DelayData Required Time = Latch Edge + Clock Network Delay to Destination Register tSU Setup Uncertaintyl Internal Register to Output PortData Arrival Time = Launch Edge + Clock Network Delay To Source Register + tCO + Register-to-Port DelayData Required Time = Latch Edge + Clock Network Delay to Output Port Output Maximum DelaytCO 指寄存器R1的自身特性tSU 指寄存器R2的自身特性如上图所示:Data Arrival Time = 启动沿时间 + Tclk1 + Tco + Tdata = 0ns + 3.2ns + 0.2ns + 0.6ns = 4nsData Required Time = 锁存沿时间 + Tclk2 - Tsu = 10ns + 2ns - 1.4ns = 10.6ns所以:Setup Slack = Data Required Time - Data Arrival Time = 10.6ns - 4ns = 6.6ns5. 保持时间余量Clock Hold Slack保持时间余量是在两个节点(寄存器) 之间,在分析保持关系的过程中“ 到底有多少剩时间可以提供给寄存器用来确保已存数据的稳定”。Clock Hold Slack=Data Arrival Time-Data Required Time由于保持时间余量是指当前Latch edge和下一个Launch edge的距离。所以上面公式可以写成:Clock Hold Slack=Data Arrival Time+数据周期时间-Data Required Timel Internal Register-to-Register pathsData Arrival Time = Launch Edge + Clock Network Delay to Source Register + tCO + Register-to-Register DelayData Required Time = Latch Edge + Clock Network Delay to Destination Register + tH + Hold Uncertaintyl Input Port to Internal RegisterData Arrival Time = Launch Edge + Clock Network Delay + Input Maximum Delay + Port-to-Register DelayData Required Time = Latch Edge + Clock Network Delay to Destination Register + tHl Internal Register to Output PortData Arrival Time = Launch Edge + Clock Network Delay To Source Register + tCO + Register-to-Port DelayData Required Time = Latch Edge + Clock Network Delay Output Maximum DelayData Arrival Time = 启动沿 + Tclk1 + Tco+ Tdata + 数据周期时间 = 0ns + 3.2ns + 0.2ns + 0.6ns + 10ns = 14nsData Required Time = 锁存沿 + Tclk2 + Th = 10ns + 2ns + 1.4ns = 13.4ns所以, Hold Slack = 数据保持时间 - 数据锁存(获取|读取)时间 = 14ns - 13.4ns = 0.6ns6. Recovery and Removal Time7. 多周期路径Multicycle Paths8. 亚稳态Metastability二、 时序约束类型1. 时钟为了确保精确的静态时序计算结果,必须指定设计中所有使用到的时钟。A. Base Clock 基准时钟设计中最主要的输入时钟。不同于从PLL中生成的时钟,基准时钟是由片外OSC或者其他器件生成的。Example 100 MHz Shifted by 90 Degrees Clock Creationcreate_clock -period 10 -waveform 2.5 7.5 get_ports clk_sysB. Virtual Clock 虚拟时钟虚拟时钟在设计中不存在真实的时钟源或者对设计没有直接影响的一个时钟。例如,如果一个时钟不是设计中的时钟,而仅仅作为一个外部器件的时钟源,并且外部器件和该设计有输入或者输出的管脚,那么就认为这个时钟是虚拟时钟。主要方便TimeQuest正确的分析外部寄存器和内部寄存器的时序关系。Example Create Virtual Clockcreate_clock -period 10 -name my_virt_clkC. Multifrequency clocks 多频率时钟某些情况下,设计中会有很多个时钟源向一个时钟节点提供信号。增加的时钟也许扮演一个低频率低功耗时钟的角色。D. Generated Clocks 派生时钟派生时钟是指在设计中修改了相位、频率、偏移、占空比的基准时钟。如分频时钟。在sdc文件中,PLL输出或者寄存器分配的Generated Clocks在所有的基准时钟之后约束。E. Deriving PLL Clocks 锁相环时钟使用derive_pll_clocks命令直接让TimeQuest自动搜索未约束的PLL输出时钟。derive_pll_clocks命令自动调用create_generated_clock命令给每个PLL输出创建Generated ClocksF. Clock Groups时钟组如果两个或者多个时钟具有相同的source和固定的相位差,那么这些时钟是同步时钟。如果两个或者多个时钟之间没有任何关系,则称之为异步时钟。如果两个时钟不会相互作用,那么称这两个时钟为互斥时钟。如果在约束中没有特别说明,TimeQuest假设所有的时钟都是相关的。使用set_clock_groups命令指定设计中指出那些时钟是互斥的或者异步的。set_clock_groups命令将不同时钟组时序分开分析,并且执行同样的分析,不管有没有指定-exclusive或者-asynchronous选项。做时序分析时,在创建好所有的时钟后,需要定义这些时钟之间的关系。我们可以把同步时钟放到一个group中,然后在定义时钟之间的关系时,可以使用group来定义。如果设计中有异步时钟,就需要用命令把异步时钟分组并定义出来。2. 输入约束 Input Constrants外部器件发送数据到FPGA系统模型如下图所示。对FPGA的IO口进行输入最大最小延时约束是为了让FPGA设计工具能够尽可能的优化从输入端口到第一级寄存器之间的路径延迟,使其能够保证系统时钟可靠的采到从外部芯片到FPGA的信号。3. 输出约束 Output ConstrantsFPGA输出数据给外部器件模型如下图所示。对FPGA的IO口进行输出最大最小延时约束是为了让FPGA设计工具能够尽可能的优化从第一级寄存器到输出端口之间的路径延迟,使其能够保证让外部器件能准确的采集到FPGA的输出数据4. 时序例外Timing ExceptionsA. Falsh Paths从逻辑上考虑,与电路正常工作不相关的那些路径,比如测试逻辑,静态或准静态逻辑。从时序上考虑,我们在综合时不需要分析的那些路径,比如跨越异步时钟域的路径。l Clock Latency:时钟延迟clock源到时序器件的clk脚的延迟叫做clock latency。有两种形式的Clock Latency,时钟源延迟clock source latency和时钟网络延迟clock network latency。时钟源延迟指从初始时钟到定义点时钟的传播延迟。时钟网络延迟指时钟定义点到寄存器的时钟引脚。l clock uncertainty:clock在时序器件clk脚上的不确定性。有三种类型的时钟到时钟转移:intraclock transfersinterclock transfersI/O interface clock transfers.l Altera对于fmax的计算公式。fmax : 电路能跑的最大频率Tclk:电路能跑的最短周期Tco:register本身的clk输出delay(clk to output)Tdata:组合逻辑本身所造成的data delayTsu:register本身的setup timeTclk_skew:clock skewl Pin to Pin Delay (tpd) tpd指输入管脚通过纯组合逻辑到达输出管脚这段路径的延时,特别需要说明的是,要求输入到输出之间只有组合逻辑,才是tpd延时l 同步设计基本模型图n launch edge,是源寄存器发送数据的时钟沿,是时序分析的起点。n latch edge,是目的寄存器捕获数据的时钟沿,是时序分析的终点。n Data Arrival Time :从launch edge开始,data实际到达Reg2 D端的时间。Data Arrival Time = Launch Edge + Source Clock Delay + tCO +Register-to-Register Delayn Data Required TimeData Required Time = Latch Edge + Destination Clo

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论