毕业设计(论文)-智能功放散热风扇设计.doc_第1页
毕业设计(论文)-智能功放散热风扇设计.doc_第2页
毕业设计(论文)-智能功放散热风扇设计.doc_第3页
毕业设计(论文)-智能功放散热风扇设计.doc_第4页
毕业设计(论文)-智能功放散热风扇设计.doc_第5页
已阅读5页,还剩25页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

摘 要 随着社会的发展,尤其是电子科学技术的飞速发展,智能化社会逐步形成,本设计通过温度传感器DS18B20作为传递方式,将LM1875集成芯片的温度采集去后,送给单片机,经过单片机的处理;采用脉冲宽度调制PWM控制,改变导通角;自动控制电机的转速,同时通过风扇带走集成块的温度,电机随温度的升高转速加快,也使风俗加大,风速的增大反过来控制温度的增高,达到自动控制的效果,实现了智能化,并通过LED显示出来,此方式结构简单,准确度高、易于控制等优点,随着空调机在日常生活不断中的普遍应用,很容易想到电风扇以后会成为空调的社会淘汰品,其实经过市场的考验证实,真实的并不是这样,虽然在空调产品的冲击也,空调产品仍然占有很强大的生命力,电风扇在市场的考验中并没有淘汰市场,反而销售在不停地复苏中具有强大的发展空间。据市场调查,电风扇的不停复苏主要在以下原因,一是电风扇虽然没有空调机的强大的制冷功能,但电风扇是直接取风,风力更加温和,比较适合老年人、儿童以及体质虚弱的人使用。二是电风扇经过多年的市场使用,较符合人们的使用习惯,而且结构简单、操作方便、安装简易。三是电风扇比起空调产品而言,其价格低廉,相对省电,更易的进入老百姓的家庭。 关键词 温度传感器; 脉冲宽度调制 ;导通角 ;1875集成芯片 ;LED显示 ; 27AbstractWith the development of society, especially the electronic the rapid development of science and technology, the intelligent community is formed stage by stage, through the design of the temperature sensor DS18B20 as transfer mode, the LM1875 integrated chip temperature is sent to MCU, the MCU processing; using pulse width modulation PWM control, Adjustment of the conduction, control motor speed, at the same time through the fan take integrated piece temperature, motor with temperature rising speed up, also make the customs and increase the wind speed increases, which in turn control of the increase in temperature, to achieve automatic control effect, And display on the LED.翻译结果,this method has the advantages of simple structure, high accuracy, easy to control Universal application in daily life constantly with the air conditioner, it is easy to think of the fans, air conditioning will be eliminated products, in fact, Fans in the market is not out of the market ,after the market test confirmed that the real is not the case, although the impact of air conditioning products in the air-conditioning products still occupies a very strong vitality, but sales kept recovering the strong development of space. According to market research, the fans of non-stop recovery for the following reasons, First, fans, powerful air conditioner cooling function, but the fans direct access to the wind, the wind is more moderate, more suitable for the elderly, children and weak constitution people. Second, the market of fans after years of use, more in line with peoples habits, and simple structure, convenient operation, easy to install. Third, the fans than the air-conditioning products, its low price, relative power, the easier the access to the families of the people.Key words: temperature; sensor DS18B20 ; LM1875 integrated chip ; modulation PWM control ; LED display. ; Adjustment of the conduction angle;目录摘 要IAbstractII1 绪论12 单片机系统硬件设计32.1 单片机的主要功能及运用32.2 本设计主要运用的功能42.3 单片机的启动晶振复位电路42.4 液晶显示53 功率放大系统硬件设计63.1 功放设置63.2 功放原理图63.3 音频信号输入输出64 风扇系统硬件设计84.1 温度测试原理84.2 PWM控制电路84.3 传感器产品及特点94.4 电机工作原理及介绍94.5 单片机最小系统104.6 系统总体硬件原理图125 系统测试135.1 实物图135.2 测试流程图145.3 子程序温度控制流程图155.4 测试结果155.5 测试程序166总结25参考文献26致谢271 绪论 随着社会的发展,尤其是电子科学技术的飞速发展,智能化社会逐步形成,本设计通过温度传感器,作为一种老式家电,电风扇具有价格便宜、摆放方便、体积轻巧等特点。由于大部分家庭消费水平的限制,电风扇作为一个成熟的家电行业的一员,尤其在中小城市,以及乡村将来一段时间内仍然会占有市场的大部分份额。 面临庞大的市场需要的同时,也要提高电风扇的市场竞争力。使之在技术含量上有所提高,应使风扇不仅功能多样,操作简便,而且更加安全可靠。 为此,在现有市场上要求多功能电风扇的基础上,新的要求不断提出出了一种新型的智能的电风扇,该风扇功能更多,添加了很多我们人性化的设计,如安全设施保护,倾倒保护,智能照明等许多功能,使电风扇更加完全人性化,相信其丰富的功能,人性化的设计将会有效大大提高电风扇的市场竞争力。 众所周知,在激烈的市场竞争下,眼下虽然电风扇具有广阔的市场空间,由于不断新生产品的出现,我们要使产品更具市场优势,单单是靠传统型的电风扇是远远达不到的,因此要对世界传统的电风扇根据市场的需求进行不断的更新,不断的改进,从而使自己的产品立于不败之地。传统的电风扇主要的缺点是,首先,风扇的风力大小不能随着温度的变化自动的调节风速,在世界的各个国家和地区,温度茶叶较大,对于那些昼夜温差很大的地区,这个自动调节风速就凸显出他的的重要了,特别是人们在睡觉时常常没有觉察到夜间是微弱温度变化,那样既浪费电费资源又容易引起感冒。其次,传统的风扇是用机械式人为定时方式,机械式的定时方式总会伴随着很大的机械运动的声音,尤其是在夜间影响人们睡眠质量,另外,机械式的定时有一定的局限性,定时范围有限,而且机械式的容易坏。再次,传统的电风扇不能远程遥控控制电风扇的功能,对平时改变调节风扇风速和其它对风扇的调节,很不科学,我们不想走近风扇就能达到与取得小效果,可以解决带来很多的不便。鉴于以上方面的考虑,我们迫切需要设计一种智能电风扇控制系统用以来解这些问题。作为一种老式家电,普通电风扇具有价格便宜、摆放方便、体积轻小,质量轻等特点。由于大部分家庭消费水平不高的限制,电风扇作为一个成熟可靠的家电行业的一员,尤其在中小城市,再加上乡村的需求,将来一段时间内仍然会占有市场的大部分份额,尤其是我国,农村人口相对较多,是一个十多亿的大国,对空调的安装,是一个非常长遥远的过程,世界很多国家也是如此,发达国家所占人数的比例还是相对比较少的,从经济的角度考虑,对智能低昂风扇的设计和改造是非常有必要的,至少在最近一段时间内是相当重要的,经济的的发展基本上都是一个长期的过程,我们有足够的时间和社会条件做后盾,保证我们对电风扇的研究有其实可靠的价值。 面临这庞大的市场需要,不断提高电风扇的市场竞争力。使其在技术含量上有所突破,应使风扇不仅功能多样,操作简洁方便,而且更加安全可靠。因此,在现有市场上多功能电风扇发展的基础上,我们提出了一种新型可靠经济的智能电风扇,该风扇功能要求更多更高,要添加了很多人性化的设计,对安全保护,倾倒保护,以及智能照明等功能,从而使电风扇更加人性化,这才是我们人内改造社会的真谛,相信其丰富的功能和人性化的设计将会大大提高电风扇为人类做出的贡献,随着全球人口的增多,对社会的环境造成极大的破坏,这一方面是主观的问题,我盟需要提倡保护环境,甚至采取法律的手段来控制,但同时也是客观当面的问题,我们虽然提倡和静止,但是还是改变不了全球变暖气温升高,生态被严重破坏的局面。对此,电风扇将会占据市场也是客观的,市场使用人口愈多,对其产品的要求就越高,在经济科学的条件下,大量的改善其功能和作用,为人类创造出经济实惠,功能强大是很有必要的。 智能电风扇是一种可以通过弱电来控制强电的过程,我们仅仅只需要一个很小的单片机系统,就可以在满足人们所需功能的基础上来开发产品,现在电子技术高速发展,为其研究带来了坚实的基础,任何一位相关专业的同学,都能够参与到研究的潮流之中,各种电子产品器件价格低廉,我们只要很少的的经费就可以对其开发和研究,当然,本产品是一项软件和硬件乡合并的产品,在开发法过程中需要加大知识和能力的学习,对于本专业的学生来说,我们必须注重将理论联系实际这个过程做好,理论基础往往在实践中有很大的差别,加强我们的动手操作能力是非常关键的,为我们将来为自己在社会上立足打下基础,同时加强了对知识更深层次的理解。电风扇在社会世界的各个地方走必不可少,不管是用于日常生活或是工业生产,对其智能化的要求也是很有必要的,这是一个科学发展的社会,这种高速的发展必定将会淘汰旧产品,但是这样一种市场产品的粗求是不会降低的,只会愈来愈高,就如同电脑一般,它需要的是多功能但是有要求低价,经济,因为这类产品可以通过更简单的方式去创造出更经济的东西,更多的是要求我们的研究和学习,不是豪华高档的设备,总之,以最简单最科学的方式创造出最多、最强功能,最智能的风扇是当今社会形式所需。2 单片机系统硬件设计2.1 单片机的主要功能及运用 单片机也较为处理器,每个微处理器能够聪明地执行某种任务,除了它们强大的硬件外,还需要它们运行的软件,单片机只会完全按照人们预先编写的程序而执行之。那么设计人员编写的程序就存放在微处理器的程序存储器中,俗称只读程序存储器(ROM)。程序相当于给微处理器处理问题的一系列命令。其实程中。本设计用到的STC89C52单片机电路接口引脚如下图: 图1 单片机端口图图1单片机管脚说明:该单片机总共有40个端口,其中有32个端口用来作为数据的输入输出端口,被分别分为P0、P1、P2、P3空四个端口,一个电源接地接口20端口,一个VCC电源40端口。端口9为复位端口,端口31为中断允许端口,也直接输出电源,管脚接地被外部拉低,将输出电流。这是由于内部上拉的缘故。29端口PSEN外部程序存储器的选通信号。在由外部程序存储器取指期间,每个机器周期两次/PSEN有效。但在访问外部数据存储器时,这两次有效的/PSEN信号将不出现。单片机EA引脚(31号脚)为外部程序存储器访问允许控制端。(1)当EA引脚接高电平时,在PC值不超出片内程序存储器的地址范围时,单片机读片内程序存储器中的程序;当PC值超出时,将自动转向读取片外程序存储器空间中的程序。(2)当EA引脚为低电平时,只读取外部程序存储器中的程序,而片内的程序存储器不起作用。所以在此我们不需要外部扩展程序存储器就把EA引脚接高电平,让单片机读取片内程序存储器中的内容2.2 本设计主要运用的功能 运用串行输入口,串行输出口,对数据进行传输,INT0(外部中断0),工作方式一;LED液晶显示,P3stc89c52好吧可以多次擦写程度而且一个机械周期只要六个晶振周期.可以串口下载程序;可以方便地进行程序的调试,观察结果明显, 2.5 本设计的单片机接口的功能及作用, P0的8个端口接在液晶显示的8个数据端口,并用10K的上拉电阻,确保单片机的正常工作,P2的高三个端口用来控制场效应管的工作过程,导通角。引脚9接了个复位电路,18和19接口接晶振和和两个22pF的电容,20端口接地,P33,P34,P34分别接液晶的三个控制端楼,对液晶进行数据的读写。2.3 单片机的启动晶振复位电路 通过两个22pF的电容震荡,为警惕产生震荡控制单片机的正常工作做好准备,复位电路是单片机能够重新开始启动,XTAL1:反向振荡放大器的输入及内部时钟工作电路的输入。XTAL2:来自反向振荡器的输出。复位输入。晶振工作时,RST脚持续2 个机器周期高电平将使单片机复位。看门狗计时完成后,RST 脚输出96 个晶振周期的高电平。特殊寄存器AUXR(地址8EH)上的DISRTO位可以使此功能无效。DISRTO默认状态下,复位高电平有效。振荡器特性:,XTAL1和XTAL2分别为反向放大器的输入和输出。该反向放大器可以配置为片内振荡器。石晶振荡和陶瓷振荡均可采用。如采用外部时钟源驱动器件,XTAL2应不接。有余输入至内部时钟信号要通过一个二分频触发器,因此对外部时钟信号的脉宽无任何要求,但必须保证脉冲的高低电平要求的宽度。中断定时,AT89S52 有6个中断源:两个外部中断(INT0 和INT1),三个定时中断(定时器0、1、2)和一个串行中断。每个中断源都可以通过置位或清除特殊寄存器IE 中的相关中断允许控制位分别使得中断源有效或无效。IE还包括一个中断允许总控制位EA,它能一次禁止所有中断。IE.6位是不可用的。读写指令; 本设计用到的是单片机读写指令,读写数据;主要是针对传感器而言,首先进行位的读取,之后是字节的读取,整个过程主要是把温度传感器采集的数据读出来;并显示在液晶显示屏,通过观察显示屏上的数据来模拟整个设计结果。2.4 液晶显示 图2 液晶显示1602液晶用来显示风扇调速系统处于的工作状态,状态有不同种类的显示,对应不同的转速,在液晶显示器上的数据反应具体的设计效果。LED显示;本系统共使用的三个共阳极七段数码管分别显示,当前的温度和设定定时的倒计时时间。温度以标准摄氏度为单位。时间以分钟为单位。数码管采用单片机P0口并行数据输出,P2口数据扫描控制显示,三极管8550做数码管的驱动。液晶现实原理就象磁场中的金属一样,当受到外界电场影响时,其分子会产生精确的有序排列。如果对分子的排列加以适当的控制,液晶分子将会允许光线穿越。采用LED显示屏由不同部分组成的分层结构。位于最后面的一层是由荧光物质组成的可以发射光线的背光层。背光层发出的光线在穿过第一层偏振过滤层之后进入包含成千上万水晶液滴的液晶层。液晶层中的水晶液滴都被包含在细小的单元格结构中,一个或多个单元格构成屏幕上的一个像素。当LED中的电极产生电场时,液晶分子就会产生扭曲,从而将穿越其中的光线进行有规则的折射,然后经过第二层过滤层的过滤在屏幕上显示出来。 3 功率放大系统硬件设计3.1 功放设置 在电子设备中,常常要求最后一级要带动一定功率的负载,例如推动电机转动,使继电器工作,使扬声器音圈震动发出声音,这就要求末级放大器能输出一定的信号功率,因此通常常把放大一级叫做功率放大器,这是一种价格低廉、输出功率大,保真性好 、外圈元件少,能驱动扬声器发音的音频集成功率放大器,本设计核心部件就是TDA2030,它是一块高保真的集成音频功率放大器芯片,输出功率功率大于10瓦,频率响应为10到1400Hz;输出电流峰值可达3.5A,是以中非常实用的功放。3.2 功放原理图图3 功放原理图3.3 音频信号输入输出 音频信号经过音量调节电阻,调节音量的大小,该电阻为可调电阻,组织可达到50K左右,在输入端接了2.2uF电容是输入耦合电容,作用是隔离音源前级过来的直流,通过音源过来的音频信号(交流)。22K电阻为输入偏执电阻,信号被放大后,有连个0.1uF的电容,是电源的高频旁路电容器,防止高频自激。反馈到输入端的22uF的电容是起到隔直流的作用。交流电电源经过整理器后变为直流,然后在经过两个25V和4700uF的电容滤波稳压,最后得到5V和12V的两种电压,功放原理其实质就是高频功率放大的过程,主要作用是放大各种信号,必须是在电源电压确定的情况下进行的,以输出尽可不是真的信号功率和有尽可能高的转化效率,信号的输出接一个扬声器,功率在20W左右匹配最佳。 4 风扇系统硬件设计 4.1 温度测试原理 根据DS18B20的特点,充分抓住其产品的优势,针对其特殊的功能,结构简单,易于操作和模拟,故把它作为本设计的中心桥梁,将功放的集成块芯片1875联系起来,这就使得单片机和功放之间建立了一种可控制的联系;而且可以互相制约,即温度的升高可以使电机转速的加快,电机的加速又反过来使其温度降低。4.2 PWM控制电路 图4 PWM控制场效应管电路通过单片机的的控制,控制点的转速,已达到调节风力大小的效果,在此过程中主要用到的是脉冲宽度调制,随着电子技术的发展,出现了多种PWM技术,其中包括:相电压控制PWM、脉宽PWM法、随机PWM、SPWM法、线电压控制PWM等,而在镍氢电池智能充电器中采用的脉宽PWM法,它是把每一脉冲宽度均相等的脉冲列作为PWM波形,通过改变脉冲列的周期可以调频,改变脉冲的宽度或占空比可以调压,采用适当控制方法即可使电压与频率协调变化。可以通过调整PWM的周期、PWM的占空比而达到控制充电电流的目的。 4.3 传感器产品及特点 图5 DS18B20电路 一个端口DQ就可以控制接受和发送,就可实现通信,在其内部没一个零件都是独一无二的,实际中不需要任何器件就可以测温度,温度范围在-55度到125度之间,相对温宿足够满足实际所需温度,数字温度的分辨率高。 DS18B20的使用方法 ,由于DS18B20采用的是1Wire总线协议方式,即在一根数据线实现数据的双向传输,而对AT89S51单片机来说,硬件上并不支持单总线协议,因此,我们必须采用软件的方法来模拟单总线的协议时序来完成对DS18B20芯片的访问。 由于DS18B20是在一根I/O线上读写数据,因此,对读写的数据位有着严格的时序要求。DS18B20有严格的通信协议来保证各位数据传输的正确性和完整性。该协议定义了几种信号的时序:初始化时序、读时序、写时序。所有时序都是将主机作为主设备,单总线器件作为从设备。而每一次命令和数据的传输都是从主机主动启动写时序开始,如果要求单总线器件回送数据,在进行写命令后,主机需启动读时序完成数据接收。数据和命令的传输都是低位在先。 4.4 电机工作原理及介绍 电机通过磁铁的作用,当通电时,根据电压的大小,使其产生一定的磁力,使电机转动,将电能转化为机器能,电动机俗称马达,被广泛运用于各种电器用品间,驱动机械作旋转运动、振动或直线运动,作直线运动的马达叫线性马达,适用于半导体、自动化工业、工具机、产业机器及仪器工业等,而作旋转运动的马达,其应用遍及各种行业、办公室、家庭等总体设计系统电路方框图如图6所示,控制器采用单片机AT89C52,温度传感器采用DS18B20,用2位LED数码管以串口传送数据实现温度显示电机转速减慢功放开始工作集成块温度降低集成块芯片温度升高电机转速加快温度传感器采集数据硅管导通次数增加单片机接收处理数据 图6 设计方框图4.5 单片机最小系统 单片机要能正常工作,必须要有以下四部分组成,其中包括复位开关,晶振回路,单片机,P0口接上拉电阻1晶振电路, 图7 晶振电路单片机系统里都有晶振,在单片机系统里晶振作用非常大,全程叫晶体振荡器,它结合单片机内部电路产生单片机所需的时钟频率,单片机晶振提供的时钟频率越高,那么单片机运行速度就越快,单片接的一切指令的执行都是建立在单片机晶振提供的时钟频率。单片机晶振的作用是为系统提供基本的时钟信号。通常一个系统共用一个晶振,便于各部分保持同步。2复位电路图8 复位电路图单片机在启动时都需要复位,以使CPU及系统各部件处于确定的初始状态,并从初态开始工作。89系列单片机的复位信号是从RSET引脚输入到芯片内的施密特触发器中的。当系统处于正常工作状态时,且振荡器稳定后,如果RSET引脚上有一个高电平并维持2个机器周期(24个振荡周期)以上,则CPU就可以响应并将系统复位。单片机系统的复位方式有:手动按钮复位和上电复位。手动按键S1复位就是当按键按下时,直接给单片机复位引脚一个高电平。由于人的动作时间远大于单片机复位所需的最短时间,所以手动按键复位是可行的,C8和R5串联构成单片机的上电复位电路。上电复位的工作过程是在加电时,复位电路通过电 容加给RSET端一个短暂的高电平信号,此高电平信号随着VCC对电容的充电过程而逐渐回落,即RSET端的高电平持续时间取决于电容的充电时间。为了保证系统能够可靠地复位,RSET端的高电平信号必须维持足够长的时间。3P0口接上拉电阻图9 P0口上拉电阻图P0口作为通用的I/O口使用时需要加上拉电阻(一般为10K欧),这时为准双向口。当作为通用的I/O输入时,应先向端口输出锁存器写入“1”,实用排阻,既方便又美观,价格也相差不大,是单片机最小系统中实用上拉电阻最佳选择。4.6 系统总体硬件原理图5 系统测试5.1 实物图实物主要有以下部分组成,液晶显示器,单片机最小系统,可控硅管,15V变压器,直流电源,直流电机,功率放大器,扬声器,温度传感器,图10 实物图5.2 测试流程图(1) 程序总体流程图 开始初始化程序检查数据总线是否繁忙等待响应写指令数据检查数据总线是否繁忙写数据读数据显示温度结束是是否否 图11 总程序流程图5.3 子程序温度控制流程图开始初始化温度检测PWM=5温度在30-35之间?是PWM=10温度在35-38之间?否PWM=20温度在38-39之间?PWM=30温度在39-41之间?PWM=1000温度大于41?结束是否否否是是图12 子程序温度控制流程图5.4 测试结果当功放在工作的时候,1875芯片很容易因为温度过高而被损坏,假若通过散热的方法,可以使其缓解寿命,通过用传感器检测功放的温度,将其数据返回给单片机,并且在LED上显示出来,然后单片机通过PWM方式,对电机的转速控制,达到自动降温的目的。在平时生活中,做的功放的寿命很有限,而且总是1875芯片首先损坏,通过多次的观察发现,问题主要出现的原因是功放的芯片温度过高,所以可以设计通过散热的方式来解决问题,但是当温度低于某一数值之后,就不需要再对芯片散热。5.5 测试程序#include#define uchar unsigned char#define uint unsigned intsbit DQ=P10; /ds18b20与单片机连接口sbit lcdrs=P25;sbit lcdrw=P26;sbit lcden=P27;sbit relay=P20; /低电平导通sbit beep=P21; /高电平响uint zhengshu,xiaoshu;uint t0,PWM,T;void DelayUs2x(unsigned char t) while(-t);void delay(uint z) uint x,y; for(x=z;x0;x-) for(y=123;y0;y-);void Check_Busy() lcdrs=0; lcdrw=1; lcden=1; P0=0xff; while(P0&0x80)=0x80);/忙则等待 lcden=0;void write_com(uchar com)Check_Busy(); lcdrs=0;lcdrw=0; P0=com; lcden=1; delay(5); lcden=0;void write_date(uchar date)Check_Busy(); lcdrs=1;lcdrw=0; P0=date; lcden=1; delay(5); lcden=0;void diyihang(uint z) z-; write_com(0x80+z);/*void dierhang(uint z) z-; write_com(0x80+0x40+z);*/*void kaishi()char num; write_com(0x01);/清屏 diyihang(1);/显示位置 for(num=0;num14;num+) write_date(tablenum); delay(10); diyihang(12);/换行显示 for(num=0;num0; i-)DQ = 0;DQ = dat&0x01;/按位与DelayUs2x(25);DQ = 1;dat=1;DelayUs2x(25);uchar ReadOneChar(void)uchar i=0;uchar dat=0;for (i=8;i0;i-) DQ = 0; / 给脉冲信号 dat=1;/稍微延时 DQ = 1; / 给脉冲信号 if(DQ=1) dat|=0x80; DelayUs2x(25); return(dat);void readtemperature()uchar LSB,MSB,temph,templ,tempd;Init_DS18B20();WriteOneChar(0xCC); / 跳过读序列号的操作WriteOneChar(0x44); / 启动温度转换delay(10);Init_DS18B20();WriteOneChar(0xCC); /跳过读序号列号的操作 WriteOneChar(0xBE); /读取温度寄存器等(共可读9个寄存器) 前两个就是温度LSB=ReadOneChar(); /低位MSB=ReadOneChar(); /高位Init_DS18B20(); /复位,结束数据读取temph=MSB4; /取低字节高4位zhengshu=temph|templ;tempd=LSB&0x0f;xiaoshu=tempd*625;void display()readtemperature();diyihang(1);write_date(0+zhengshu/100);/百位write_date(0+zhengshu%100/10);/十位write_date(0+zhengshu%10);/个位write_date(.);write_date(0+xiaoshu/1000);/小数一位write_date(0+xiaoshu%1000/100);/小数二位write_date(0+xiaoshu%100/10);/小数三位write_date(0+xiaoshu%10);/小数四位write_date( );write_date(C);void init_lcd() lcdrw=0; lcden=0; write_com(0x38);/模式delay(1);write_com(0x38);/模式delay(1); write_com(0x0c);/无光标不闪delay(1); write_com(0x01);/清屏delay(20);write_com(0x06);/指针加1delay(1);void init_t0()TMOD=0x01;TH0=(65536-50000)/256;TL0=(65536-50000)%256;EA=1;ET0=1;TR0=1;void control()if(zhengshu=30)/T=50;PWM=5;TR0=1;if(zhengshu=34)&(zhengshu=35)&(zhengshu=36)&(zhengshu=36)/T=100;PWM=100;else TR0=0;beep=1;relay=0;void main() init_lcd();init_t0();relay=0; while(1) display();control(); void timer0() interrupt 1TH0=(65536-10000)/256; /10msTL0=(65536-10000)%256;t0+;if(t0=100)/周期为St0=0;if(t0PWM)relay=1;beep=0;else relay=0;beep=1;6 总结 首先,通过这次应用系统设计,在很大程度上提高了自己的独立思考能力和单片机的专业知识,也深刻了解写一篇应用系统的步骤和格式,有过这样的一次训练,相信在接下来的日子我们都会了,而且会做得更好。我所写的系统主要根据目前节智能化电风扇技术的发展趋势和国内实际的应用特点和要求,采用了自动化的结构形式,实现对电风扇转速的自动控制。 系统以单片机STC89C52为核心部件,单片机系统完成对环境温度信号的采集、处理、显示等功能;用本设计遇到的问题主要是选择硅管,当选择三极管时,不能使电机工作,并且发现三极管发热,后来改用大的三极管;还是有同样的问题,后来想到场效应管是电压控制电压的方式,这样能十电机两端有5V的电压,最后达到目的。稳压块的目的及其他附

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论