ds18B20-FPGA(VHDL语言).doc_第1页
ds18B20-FPGA(VHDL语言).doc_第2页
ds18B20-FPGA(VHDL语言).doc_第3页
ds18B20-FPGA(VHDL语言).doc_第4页
ds18B20-FPGA(VHDL语言).doc_第5页
已阅读5页,还剩3页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

-VHDL语言编写DS18B20温度传感器程序library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity ds18B20 is port(clk : in std_logic; -50MHz dq : inout std_logic; rst: in std_logic; LED : out std_logic; LED2 : out std_logic; LED3 : out std_logic; dataout1,dataout2,dataout3 : out std_logic_vector(6 downto 0 ); -数end ds18B20; architecture Behavioral of ds18B20 is TYPE STATE_TYPE is (RESET,CMD_CC,WRITE_BYTE,WRITE_LOW,WRITE_HIGH,READ_BIT, CMD_44,CMD_BE,WAIT800MS,GET_TMP,WAIT4MS); signal STATE: STATE_TYPE:=RESET; signal clk_temp : std_logic:=0; signal clk1m : std_logic; -分频后得到的1M时钟 signal cp: std_logic; - 1ms 时钟signal w : integer range 0 to 2 :=0; - xian shi shun xu signal write_temp : std_logic_vector(7 downto 0):=00000000; signal TMP : std_logic_vector(11 downto 0); signal tmp_bit : std_logic; signal WRITE_BYTE_CNT : integer range 0 to 8:=0; signal WRITE_LOW_CNT : integer range 0 to 2:=0; signal WRITE_HIGH_CNT : integer range 0 to 2:=0; signal READ_BIT_CNT : integer range 0 to 3:=0; signal GET_TMP_CNT : integer range 0 to 13:=0; signal cnt : integer range 0 to 100_001:=0; -* signal cnt2 : integer range 0 to 4000001:=0; signal temp : std_logic; signal data_temp0 : std_logic_vector(15 downto 0); signal decimal0 : std_logic_vector(15 downto 0); signal decimal1 : std_logic_vector(15 downto 0); signal decimal2 : std_logic_vector(15 downto 0); signal decimal3 : std_logic_vector(15 downto 0); signal data_temp1 : std_logic_vector(7 downto 0); signal integer0 : std_logic_vector(7 downto 0); signal integer1 : std_logic_vector(7 downto 0); signal integer2 : std_logic_vector(7 downto 0); signal integer3 : std_logic_vector(7 downto 0); signal integer4 : std_logic_vector(7 downto 0); signal integer5 : std_logic_vector(7 downto 0); signal integer6 : std_logic_vector(7 downto 0); signal sign : std_logic_vector(7 downto 0); signal count : integer range 0 to 51:=0; signal WRITE_BYTE_FLAG : integer range 0 to 4:=0; function dis(num: std_logic_vector) return std_logic_vector isbegin case num is when 0000 = return 1000000; -0 when 0001 = return 1111001; -1 when 0010 = return 0100100; -2 when 0011 = return 0110000; -3 when 0100 = return 0011001; -4 when 0101 = return 0010010; -5 when 0110 = return 0000010; -6 when 0111 = return 1111000; -7 when 1000 = return 0000000; -8 when 1001 = return 0010000; -9 when 1010 = return 0001000; -A when 1011 = return 0000011; -b when 1100 = return 1000110; -C when 1101 = return 0100001; -d when 1110 = return 0000110; -E when 1111 = return 0001110; -F when others = return 1111111; -mie end case; end dis; begin -ClkDivider:process (clk,clk_temp) begin if rising_edge(clk) then if (count = 24) then count = 0; clk_temp= not clk_temp; else count = count +1; end if; end if; clk1m12000) then n:=0; cp=not cp; end if; end if; end Process; STATE_TRANSITION:process(STATE,clk1m) begin if rising_edge(clk1m) then if(rst=0) then STATE -* LED2=0;-*- LED3=0 and cnt500) then - 500s的复位低电平 dq=0;- dq作为输出 cnt=cnt+1; STATE=500 and cnt510) then -高阻态再输入下一级电路的话,对下级电路无任何影响,和没接一样,高阻态可以应用在inout端口里面,这样在inout没有输出的时候就弄个高阻态,这样就其电平就可以由外面的输入信号决定了dq=Z; cnt=cnt+1; STATE=510 and cnt750) then - 240stemp=dq; - dq作为输入if(cnt=580) then temp=dq; if(temp=1) then LED=0; else LED=1; end if; end if; cnt=cnt+1; STATE=750) then cnt=0; -计数器清零 STATE -跳跃rom指令“CC”LED2=1; LED3=0; write_temp=11001100; STATE case WRITE_BYTE_CNT is when 0 to 7= if (write_temp(WRITE_BYTE_CNT)=0) then STATE=WRITE_LOW; LED3=1; else STATE=WRITE_HIGH; end if; WRITE_BYTE_CNT if (WRITE_BYTE_FLAG=0) then - 第一次写0XCC完毕 STATE=CMD_44; WRITE_BYTE_FLAG=1; elsif (WRITE_BYTE_FLAG=1) then -写0X44完毕 (写温度转换指令后没有读数据?) STATE=RESET; WRITE_BYTE_FLAG=2; elsif (WRITE_BYTE_FLAG=2) then -第二次写0XCC完毕 STATE=CMD_BE; WRITE_BYTE_FLAG=3; elsif (WRITE_BYTE_FLAG=3) then -写0XBE完毕 STATE=GET_TMP; WRITE_BYTE_FLAG=0; end if; WRITE_BYTE_CNTSTATE LED3 dq=0; if (cnt=70) then cnt=0; WRITE_LOW_CNT=1; else cnt dq=Z; if (cnt=5) then cnt=0; WRITE_LOW_CNT=2; else cnt STATE=WRITE_BYTE; WRITE_LOW_CNTWRITE_LOW_CNT case WRITE_HIGH_CNT is when 0= dq=0; if (cnt=8) then cnt=0; WRITE_HIGH_CNT=1; else cnt dq=Z; if (cnt=72) then cnt=0; WRITE_HIGH_CNT=2; else cnt STATE=WRITE_BYTE; WRITE_HIGH_CNTWRITE_HIGH_CNT write_temp=01000100; STATE write_temp=10111110; STATE case READ_BIT_CNT is when 0= dq=0; -4s的低电平 if (cnt=4) then READ_BIT_CNT=1; cnt=0; else cnt dq=Z; -4s的高电平 if (cnt=4) then READ_BIT_CNT=2; cnt=0; else cnt dq=Z; TMP_BIT=dq; -12s读出数据 ,就是最后一次赋值的结果。 if (cnt=4) then READ_BIT_CNT=3; cnt=0; else cnt - dq=Z; -控制器拉高总线- if (cnt=50) then -读出数据后,等待50us cnt=0; READ_BIT_CNT=0; STATE=GET_TMP; else cntREAD_BIT_CNT case GET_TMP_CNT is when 0 = STATE=READ_BIT; GET_TMP_CNT STATE=READ_BIT; TMP(GET_TMP_CNT-1)=TMP_BIT;-将读出的每一位数据按顺序存进 TMP(0 to 11)里面 GET_TMP_CNT GET_TMP_CNT=0; STATE if (cnt=4000) then -STATE=WAIT4MS; STA

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论