(电路与系统专业论文)基于FPGA的蓝牙HCIUART控制接口设计[电路与系统专业优秀论文].pdf_第1页
(电路与系统专业论文)基于FPGA的蓝牙HCIUART控制接口设计[电路与系统专业优秀论文].pdf_第2页
(电路与系统专业论文)基于FPGA的蓝牙HCIUART控制接口设计[电路与系统专业优秀论文].pdf_第3页
(电路与系统专业论文)基于FPGA的蓝牙HCIUART控制接口设计[电路与系统专业优秀论文].pdf_第4页
(电路与系统专业论文)基于FPGA的蓝牙HCIUART控制接口设计[电路与系统专业优秀论文].pdf_第5页
已阅读5页,还剩53页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

中文摘要 摘要 通用异步收发器u a r t ( u n i v e r s a la s y n c h r o n o u sr e c e i v e r t r a n s m i t t e r ) 是广泛使 用的串行传输协议。串行外设用到异步串行接口一般采用专用集成电路实现。但 是这类芯片一般包含许多辅助模块,而时常不需要使用完整的u a r t 的功能和辅 助功能,或者当在f p g a 上设计时,需要将u a r t 功能集成到f p g a 内部而不能 使用芯片。蓝牙主机控制器接口则是实现主机设备与蓝牙模块之问互操作的控制 部件。当在使用蓝牙设备的时候尤其是在监控场所,接口控制器在控制数据与计 算机的传输上就起了至关重要的作用。 论文针对信息技术的发展和开发过程中的实际需要,设计了一个蓝牙 h c i u a r t ( h o s tc o n t r o l l e ri n t e r f a c e - u n i v e r s a la s y n c h r o n o u sr e c e i v e r t r a n s m i t t e r ) 控制接口的模块。使用v h d l 将其核心功能集成,既可以单独使用,也可集成到 系统芯片中,并且整个设计紧凑、稳定且可靠,其用途广泛,具有一定的使用价 值。 本设计采用t o p d o w n 设计方法,整体上分为u a r t 接口和蓝牙主机控制 器接口两部分。首先根据u a r t 和蓝牙主机控制器接口的实现原理和设计指标要 求进行系统设计,对系统划分模块以及各个模块的信号连接;然后进行模块设计, 设计出每个模块的功能,并用v h d l 语言编写代码来实现模块功能;再使用i s e 8 2 i 自带的仿真器对各模块进行功能仿真和时序仿真;最后进行硬件验证,在v i r t e x i i 开发板上对系统进行功能验证。实现了发送、接收和波特率发生等功能,验证 了结果,表明设计正确,功能良好,符合设计要求。 关键词:通用异步接收发送器( u a r t ) :ip 核;蓝牙卜u a r t :f p g a 验证 英文摘要 t h ed e s i g no fb l u e t o o t hh c i u a r tc o n t r o l b a s e do nf p g a a b s t r a c t u a r t ( u n i v e r s a la s y n c h r o n o u sr e c e i v e r t r a n s m i t t e r ) i saw i d e l yu s e ds e r i a l t r a n s m i s s i o np r o t o c 0 1 g e n e r a l l ys p e a k i n g ,a s y n c h r o n o u ss e r i a li n t e r f a c eu s e di n p e r i p h e r a li sr e a l i z e db yt h ea s i c t h e s ec h i p sg e n e r a l l yc o n t a i nm a n ya u x i l i a r y m o d u l e s ,b u ti to f t e nd o e sn o tr e q u i r et h ei n t e g r i t yf u n c t i o n sa n da u x i l i a r yf u n c t i o n so f t h eu a r t t h en e e di st oi n t e g r a t et h ef u n c t i o n so fu a r ti n t ot h ei n t e r i o ro ft h ef p g a n o tu s et h ec h i pw h i l et h ed e s i g ni su s e di nf p g a b l u e t o o t hh o s tc o n t r o l l e ri n t e r f a c ei s t h ec o n t r o lc o m p o n e n tt or e a l i z et h ei n t e r o p e r a b i l i t yb e t w e e nah o s td e v i c ea n d b l u e t o o t hm o d u l e w h e nu s i n gt h eb l u e t o o t hd e v i c e s ,e s p e c i a l l yd u r i n gt h em o n i t o r i n g s i t e s ,i n t e r f a c ec o n t r o l l e r sp l a yac r u c i a lr o l eo nc o n t r o lt h ed a t at r a n s m i s s i o nt ot h e c o m p u t e r am o d u l eo fc o n t r o li n t e r f a c ef o rb l u e t o o t hh c i - u a r t ( h o s tc o n t r o l l e r i n t e r f a c e u n i v e r s a la s y n c h r o n o u sr e c e i v e r t r a n s m i t t e r ) i sd e s i g n e di nt h i sp a p e r , a i m e da tt h ed e v e l o p m e n to fi n f o r m a t i o nt e c h n o l o g ya n dt h ea c t u a ln e e d si nt h ep r o c e s s o fd e v e l o p i n g u s i n gv h d lt oi n t e g r a t et h ef u n c t i o n so fi t sc o r e ,i tn o to n l yc a nb e u s e da l o n eb u ta l s oc a nb ei n t e g r a t e di n t oas y s t e m - o n c h i p ,a n dt h ew h o l ed e s i g ni s c o m p a c t ,s t a b l ea n dr e l i a b l e ,w h i c hh a v eaw i d er a n g eo fu s es oh a v eac e r t a i nv a l u e t h i sd e s i g nu s e st o p - d o w nd e s i g nm e t h o d ,a n dd i v i d e si ti n t ou a r ti n t e r f a c e a n db l u e t o o t hh o s tc o n t r o l l e ri n t e r f a c eo ft w op a r t s f i r s t l y , a c c o r d i n gt ot h ed e s i g n p r i n c i p l ea n dt h ei n d i c a t o ro ft h eu a r ta n db l u e t o o t hh o s tc o n t r o l l e ri n t e r f a c ef o r s y s t e md e s i g n ,t h es y s t e mi sd i v i d e di n t os e v e r a lm o d u l e sw i t hc o n f i r m i n gt h es i g n a l c o n n e c t i o n sb e t w e e ne a c hm o d u l e t h e ni tp r o c e e d st od e s i g nam o d u l a rd e s i g nf e a t u r e o fe a c hm o d u l e ,a n du s i n gv h d ll a n g u a g et ow r i t ec o d e a f t e r w a r d ,i ti m p l e m e n t s m o d u l ef u n c t i o n s b yu s i n g i s e 8 2 io n b o a r ds i m u l a t o ro nt h em o d u l ef u n c t i o n s i m u l a t i o na n dt i m i n gs i m u l a t i o n f i n a l l yi td o e sh a r d w a r ev e r i f i c a t i o ni nt h ev i r t e x i i b o a r do ff u n c t i o n a lv e r i f i c a t i o ns y s t e m i m p l e m e n t a t i o no ft h et r a n s m i s s i o n ,r e c e i v i n g , 英文摘要 b a u dr a t eg e n e r a t i o na n do t h e rf u n c t i o n s ,t h et e s tr e s u l t si n d i c a t et h ed e s i g nt ob ec o r r e c t , t h ef u n c t i o nt ob eg o o d ,a c c o r dw i t ht h er e q u i r e m e n t so ft h ed e s i g n k e yw o r d s :u n i v e r s a la s y n c h r o n o u sr e c e i v e r t r a n s m i t t e r ;i pc o r e ;b l u e t o o t h h c i - u a r t ;f p g a 大连海事大学学位论文原创性声明和使用授权说明 原创性声明 本人郑重声明:本论文是在导师的指导下,独立进行研究工作所取得的成果, 撰写成博士硕士学位论文= = 基王e 鱼的蓝丕h ! :堕! 控趔撞旦逡让: 。 除论文中已经注明引用的内容外,对论文的研究做出重要贡献的个人和集体,均 已在文中以明确方式标明。本论文中不包含任何未加明确注明的其他个人或集体 已经公开发表或未公开发表的成果。 本声明的法律责任由本人承担。 论文作者签名:恢闺筮枷宁年3 月诊同 学位论文版权使用授权书 本学位论文作者及指导教师完全了解“大连海事大学研究生学位论文提交、 版权使用管理办法”,同意大连海事大学保留并向国家有关部门或机构送交学位 论文的复印件和电子版,允许论文被查阅和借阅。本人授权大连海事大学可以将 本学位论文的全部或部分内容编入有关数据库进行检索,也可采用影印、缩印或 扫描等复制手段保存和汇编学位论文。 保密口,在年解密后适用本授权书。 本学位论文属于: 保密口 不保密秽( 请在以上方框内打“”) 论文作者签名:苡团錾 导师签名:考珞 f t 期:2 0 。扩年玉月矽同 基- j - f p g a 的监牙h c i u a r t 控制接口设计 第1 章绪论 1 1 课题的提出及研究意义 通用异步收发器u a r t ( u n i v e r s a la s y n c h r o n o u sr e c e i v e r t r a n s m i t t e r ) 通常用于 与串行输入和串行输出设备之间的通信。这种传输类型通过牺牲速度降低了连接 的成本和复杂性。对许多应用而言,这种折中是理想的。其具有资源简单,易于 工程实施等特点,因而得到了广泛的应用。在嵌入式微处理器芯片的设计中,u a r t 已成为不可缺少的一部分。 u a r t 可以用于调制解调器手持工业设备、条形码阅读器测试设备和消费产 品与计算机p c 以及小型网络之间的通信。在与不带有u a r t 的微控制器进行通 信,只能通过软件实现,而软件实现价格高,花费处理机时间。 蓝牙( b l u e t o o t h ) ,取自1 0 世纪丹麦国王哈拉尔德( h a r a l db l u e t o o t h ) 的别名,意 在形成一个全球统一的标准。它是世界级著名的计算机和通信领域大公司组成的 特别兴趣小组( s p e c i a li n t e r e s tg r o u p s i g ) 倡导推出的一种旨在统一全球无线通信 技术的开放式工业标准,其最大的优势在于能为各种电器设备提供附加的通信能 力。 蓝牙因其便捷、易用、并具有可满足当今快速扩展的移动与个人通信应用需 求的带宽,而使得该技术的集成在业内迅速扩展。蓝牙支持无线点对点连接和 l a n 、移动电话网络、以太网及家庭网络设备等的无线接入。蓝牙技术在电信、 计算机、家电等方面有着广阔的应用前景。 目前,最为流行的蓝牙主机控制器接口h c i ( h o s tc o n t r o l l e ri n t e r f a c e ) 是通用异 步接收器与发射器( u a i 汀) 和通信串行总线( u s b ) l - 奎接的,u a r t 通常更受青睐, 因其性能和数据吞吐率水平与u s b 接口相当,且传输协议较为简单,减少了软件 开销,是一种更为经济高效的全硬件解决方案。用于支持蓝牙h c i 的异步串行接 口的u a r t 芯片成为最新发展趋势【2 】。 i p 核就是具有知识产权( i n t e l l e c t u a lp r o p e r t y ) 的模块,i p 核的设计及其复用成 为集成电路设计的关键手段。随着片上系统和系统级设计出现,软核只完成 第1 章绪论 r t l ( r e g i s t e rt r a n s f e rl e v e l ) 级的设计,是独立于工艺条件的h d l 描述语言生成的 源代码,使用者可以利用它对不同工艺修改或重新综合设计,给后续者提供了更 大的创作空问,软核具有很大灵活性,成为i p 复用的主流。 目前,国内关于i p 技术的研究是目前发展的热点,应用于各个领域的i p 核都 急待开发。因此,支持蓝牙控制器接口和u a r t 的i p 核研究无疑具有重要意义及 使用价值。 本课题目的是结合通信领域需求,开发支持蓝牙控制器接口的u a r t 。它可 以方便、灵活地嵌入适合于接口专用集成芯片中,还可以重复利用,节省大量的 研发时间。同时,它不仅可以用在传统标准串行异步通信,还可用在支持低蓝牙 通信的接口。 1 2 课题相关技术研究现状 1 2 1 集成电路的发展状况 集成电路设计技术从诞生起持续飞速发展,已经历了小规模集成、中规模集 成、大规模集成、到超大规模集成、特大规模集成。根据m o o r e 定律,每个芯片 上的集成速度按平均每1 8 个月翻一番的速度提高。在集成电路发展的过程中,随 着集成度的提高,组成电路的芯片内电子元件和引线的尺寸在不断地缩小。加工 工艺也从微米级发展到深亚微米( d s m ) 甚至超深亚微米级( v d s m ) 。目前深亚微米 a n - r _ 技术已经成熟并成为现在集成电路加工的主流工艺。随着器件特征尺寸越来 越小,单芯片上可以容纳的系统规模越来越大。再加上各种兼容技术的丌发,如 数模混合技术、双极m o s 技术、计算机辅助设计、加工技术等等,为系统设计提 供了巨大的设计空间。沿着持续缩小尺寸的途径发展,随着集成方法学和微细加 工技术的持续成熟,出现了将整个甚至多个系统集成的芯片系统芯片s o c ( s y s t e mo nc h i p ) 或称s l i ( s y s t e ml e v e li c ) 。 s o c 在上个世纪9 0 年代中期被提出,将原来由许多芯片完成的功能,集中到 一块芯片中完成。软硬件的协同设计技术、i p 模块库和模块界面问的综合分析技 术是目前s o c 的三个主要的关键支持技术。在进行s o c 设计时,可以用基于模块 纂于f p ( 溆的蓝牙h c i - u a r t 控制接叠设计 和基于平台设计方法。平台可以被定义成一个软硬集成的结构。此结构满足一系 列的结构限制条件,使软硬件模块可以被重用。具体来说,平台是软、硬m 模块 及片上透信结构的结合体,一般还包括嵌入式c p u 、实时操作系统、外围接口模 块、中间件等。此外,还包括将此平台应用于某一特定领域的适应性设计。 通过进一步的发展,s o c 甚至可以将各种传感器、执行器和信息处理系统集 成在一起,从而完成从信息获取、处理、存储到执行的系统功能,这是一个更广 义的系统芯片。s o c 是未来芯片设计的主流,从分立元件到集成电路再到系统芯 片,这是微电子领域经历的三次重大变革。微电子技术从集成电路向s o c 转变不 仅是一种概念上的突破,同时也是信息技术发展的重要方向。 近年来,国家已经在集成电路产业上有了很大的动作,科技部启动了国家 “8 6 3 计划超大规模集成电路s o c 专项工作。希望通过这一努力,初步建成具 有自主知识产权、品种较为齐全和管理科学的国家级p 核库,掌握国际水平的s o c 软硬件协同设计、i p 复用和超深亚微米集成电路设计的关键技术。目前已取得了 一定的成果,我国i p 产业正在从概念阶段向实用阶段过渡。 1 2 2l p 核及其复用技术 糟的英文全称为i n t e l l e c t u a lp r o p e r t y ,即知识产权产晶。在微电子领域中,臻 是指可用来生成a s i c ( a p p l i e a t i o ns p e c i f i ci n t e g r a t e dc i r e u i 0 和p l d ( p r o g r a m m a b l e l o g i cd e v i c e ) 的逻辑功能块,又称为猿核。在弼设计中,l p 特指可以通过知识产 权贸易在国际上各设计公司之间流通的完成特定功能的电路模块。l p 在集成电路 的设计和制造上有很重要的作用,芯片内既可采嗣_ 种璎核,也可采焉多静猃核, 进而整合成具有复杂功能的集成电路。i p 复用技术的出现为s o c 的设计提供了有 效的途径,因为该技术提高了设计能力,缩短了上市时闯,并且降低了设计成本。 但是,使用i p 与设计褥利用的概念稍有差别。设计再利用指设计一系列可以重复 使掰的模块,然后在设计电路时基予这些模块设计,它是在公司内部进毒亍的模块 重复使用,而伊是可以购买的i c 功能模块【3 】。 第1 章绪论 一般来说,口内核的生命周期要比i c 工艺的周期长得多。当前,m 复用技术 的出现极大地推动了集成电路的开发,集成电路也越来越多地依赖于口核复用技 术 4 1 。 1 ) 口核分类及口软核的优点 按照a s i c 设计方法的要求,需要完成行为级( b e h a v i o r ) 、结构级( s t r u c t u r e ) 和 物理级( p h y s i c a l ) = 个领域的设计,根据m 核描述的所在集成电路的设计层次,或 者说根据核优化的程度,p 可分为硬i p 、固i p 和软口。 硬口的芯片中物理掩膜布局已得到证明,所有的验证和仿真工作都已完成, 用它可以直接生产硅片,系统设计者不能再对它进行修改。而软口是以行为级或 r t l 级的v a i l o g 或v h d l 代码的形式存在,用于描述功能块的行为,但是并不 涉及用什么电路和电路元件实现这些行为。软核可经用户修改,以实现所需的功 能。它要经过逻辑综合及版图综合才能最终实现在硅片上。固p 介于软核和硬核 两者之间,是完成了综合的功能块,比软m 有更大的设计深度,已经完成门级综 合、时序仿真等设计阶段,以门级网表的形式提交使用。 硬i p 核已经完全优化到了某种半导体芯片工艺上,系统设计师不能再对它进 行修改。其优点是时序固定,并可在设计期内当成库模块。缺点是设计师既不能 用户化它的功能又不能调整它的时序以满足整个芯片的时序要求。基于硬件描述 语言的软i p 核具有完全的技术独立性和灵活性,设计易被修改或可与其它多种技 术的i p 进行重复综合。软i p 的缺点是不能保证关键的时序,且它需要为每种应用 进行综合、布局和布线。 i p 软核具有如下几方面的特点: i p 软核是知识产权的载体,是完整i p 库的基础。 i p 软核由h d l 语言写成,可读性好、易于推广使用、易于升级、具有很 好的可持续发展性。 m 软核的应用可提高设计效率及设计质量,大大缩短产品的开发周期,使 超大规模的系统芯片设计成为可能。 基于黜的蓝牙h i c h ,蛆汀控制接口设计 p 软核的应用可在提高企业的技术实力和竞争能力、提高技术人员水平、 提高企业知名度方面带来显著的经济效益及社会效益。 2 1p 核复用技术 口核的设计中,要注意到口核的可扩展性,在将其应用到不同领域的时候, 不需要进行大的变化,还要注意版权的保护,采用适当的加密技术。基于平台设 计时,还要注意到口库的合理的构架及稳定的口数据基础结构,以便于有效的具 体化及模块的快速查找。 口重用的一个重要的方面是i p 模块的标准化。s o c 设计中,集中了不同来源 的各种口模块,这些模块有不同编程风格及不同的接口结构,大大降低了口模块 重复利用的效率。为了规范化接口,提高复用的效率,虚拟接口插口联盟v s i a 相 应而生,其目标是建立统一的系统级芯片业的目标和技术标准,通过规定开放标 准,方便多个来源m 模块的混合和匹配,加快s o c 的开发,同时加强各s o c 公 司之间的协作,加快s o c 基础设施的建设,解决支持下一代芯片的设计技术问题, 协作消除多厂商i p 重用中的障碍。 在以往的几十年里,我国i c 设计业一直面对着“或者设计出整个奔腾,或者 完全被排除在设计业之外 的尴尬局面。i c 设计业的最新一轮分工为打破这种局 面提供了一个适合我国国情的切入点,即中等难度和设计规模的坤,i p 产业的建 立必将从国内和国际两个方面带动我国i c 设计业。从国内方面看,我国屡次冲击 高端i c 设计都不很成功,原因之一是高端芯片的电路过于庞大和复杂;m 库的建 立则把“系统集成”与“功能模块设计”分开,分散了设计难度和规模;根据p c 机的经验,只要p 阵容整齐,设计自主知识产权的高端芯片产品将成为可能。从 国际方面看,i p 产业是进入国际市场的最好切入点;我国以电路算法著称,将算 法与i c 设计结合成为i p 是我国力所能及的,如果引导得当,可望结束我国i c 设 计产业落后的态势。 1 2 3v h d l 语言简介 硬件描述语言h d l 是一种用形式化方法描述数字电路和系统结构的语言。利 用这种语言,数字电路系统的设计可以从上层到下层( 从抽象到具体) 逐层描述 第1 章绪论 自己的设计思想,用一系列分层次的模块来表示极其复杂的数字系统。然后,利 用电子设计自动化工具,逐层进行仿真验证,再把其中需要变为实际电路的模块 组合,经过自动综合工具转到门级电路网表。接下来,再用现场可编程门阵列f p g a 自动布局布线工具,把网表转换为要实现的具体电路布线结构。 目前,这种高层次的方法已被广泛采用。硬件描述语言h d l 的发展至今已有 2 0 多年的历史,并成功的应用于设计的各个阶段:建模、仿真、验证和综合等。 到2 0 世纪8 0 年代,已出现了上百种硬件描述语言,对设计自动化曾起到了极大 的促进和推动作用。但是,这些语言一般各自面向特定的设计领域和层次,而其 众多的语言使用户无所适从。因此,急需一种面向设计的多领域、多层次并得到 普遍认同的标准硬件描述语言。2 0 世纪8 0 年代后期,v h d l 和v c r i l o g 语言适用 了这种趋势的要求,先后成为i e e e 标准【5 1 。 v h d l 是一种独立于现实技术的语言,它不受某一种特定工艺的束缚,允许 设计者在使用范围内选择工艺和方法。为了适应未来的数字硬件技术,v h d l 还 提供了将新技术引入现有设计的潜力。v h d l 语言的最大特点是描述能力极强, 覆盖了逻辑设计的诸多领域和层次,并支持众多的硬件模型。具体而言,v h d l 有一些特斛6 j : 支持从系统级到门级电路的描述,同时也支持多层次的混合描述;描述形 式可以是结构描述,也可以是行为描述,或者二者兼而有之。 既支持自底向上的设计,也支持自顶向下的设计;既支持模块化设计,也 支持层次化设计,支持大规模设计的分解和设计重用。 既支持同步电路,也支持异步电路;既支持同步方式,也支持异步方式。 支持传输延迟,也支持惯性延迟,可以更准确的建立复杂的电路硬件模型。 数据类型丰富,既支持预定义的数据类型,又支持自定义的数据类型。 支持过程与函数的概念,有助于设计者组织描述,对行为功能进一步分类。 提供了将独立的工艺集中于一个设计包的方法,便于作为标准的设计文档 保存,也便于设计资源的重用。 基于f p g a 的蓝牙h c i - u a r t 控制接口设计 v h d l 语言的类属提供了向设计实体传送环境信息的能力。 v h d l 语言的断言语句可用来描述设计本身的约束信息,支持设计直接在 描述中书写错误条件和特殊约束,不仅便于模拟调试,而且为综合及化简提供了 重要信息。 1 2 4f p g a c p l d 的发展历程 当今社会是数字化的社会,是数字集成电路广泛应用的社会。数字集成电路 本身在不断地进行更新换代。它由早期的电子管、晶体管、小中规模集成电路发 展到超大规模集成电路以及许多具有特点功能的专用集成电路。但是,随着微电 子技术的发展,设计与制造集成电路的任务已不完全由半导体厂商来独立承担。 系统设计师们更愿意自己设计专用集成芯片,而且希望a s i c 的设计周期尽可能 短,最好是在实验室里就能设计出合适的a s i c 芯片,并且立即投入实际应用之中, 因而出现了现场可编程逻辑器件,其中应用最广泛的当属现场可编程门阵列 f p g a ( f i e l dp r o g r a m m a b l eg a t ea r r a y ) 和复杂可编程逻辑器件c p l d ( c o m p l e x p r o g r a m m a b l el o g i cd e v i c e ) 。 f p g a 与c p l d 都是可编程逻辑器件,它们是在p a l ( 可编程阵列逻辑) 、 g a l ( 通用阵列逻辑) 等逻辑器件的基础上发展起来的。同以往的p a l 、g a l 等相 比较,f p g a c p l d 的规模比较大,它可以替代几十甚至几千块通用i c 芯片。这 样的f p g a c p l d 实际上就是一个子系统部件。这种芯片受到世界范围内电子工程 设计人员的广泛关注和普遍欢迎。经过几十年的发展,许多公司都开发出了多种 可编程逻辑器件。比较典型的就是x i l i n x 公司的f p g a 器件系列和a l t e r a 公司的 c p l d 器件系列,它们开发较早,占用了较大的p l d 市场【j 7 1 。 1 2 5f p f i a 结构与原理 f p g a 的结构与生产厂家有关。目前具有代表性的生产厂家是美国的x i l i n x 公司。本设计采用的是x i l i n x 的v i r t e x 系列的f p g a 芯片x c 2 v p 3 0 。 f p g a 器件从结构上而言,其核心部分是逻辑单元阵列l c a ( l o g i cc e l la r r a y ) , l c a 是由内部逻辑块矩阵及周围的输入输出模块l o b ( i n p u t o u t p u tb l o c k ) 组成, 第1 章绪论 而其内部连线可编程内部互连资源p i ( p r o g r a m m a b l ei n t e c t i o n ) 则占据逻 辑块的行列之间,以及逻辑块与f o 块之间的通道。l c a 的可编程逻辑块 c l b ( c o n f i g u r a b l el o g i cb l o c k ) 和i o b 的功能及其互连,是由存储器中的配置程序 来控制的。 v i r t e x 主要包括可配置逻辑块c l b 阵列、输入输出块、r a m 块、时钟延迟锁 相环( d l l ) 、数字时钟管理单元、微处理器( p o w e rp c 等硬处理器) 和可编程内部互 连资源p i 。 可编程逻辑块c l b f p g a 器件的主体部分是由相同的可编程逻辑块c l b 构成的矩阵,每个c l b 含有可编程的组合逻辑和寄存器,寄存器可由组合逻辑或直接由c l b 的输出装入 信息,寄存器的输出也可以直接驱动组合逻辑。一个c l b 包括4 个s l i c e s ,每个 s l i c e s 包括两个查找表l u t 、两个触发器和相关逻辑。 查找表本质上就是一个r a m 。目前f p g a 中多使用4 输入的l u t ,所以每一 个l u t 可以看成一个有4 位地址线的1 6 x1 的r a m 。当用户通过原理图或h d l 描述了一个逻辑电路以后,c p l d f p g a 开发软件会自动计算逻辑电路的所有可能 的结果,并把结果事先写入r a m 。这样,每输入一个信号进行逻辑运算就等于输 入一个地址进行查表,找出与地址对应的内容,然后输出即可。 输入输出块i 0 输入输出i 0 含有一个可编程输入通道和一个可编程输出通道。可编程输入通 道由驱动器、触发器及程序控制多路开关组成。它可以通过程序控制选择直接输 入或通过寄存器输入。可编程输出通道由多路开光和输出缓冲器组成,它可以通 过程序控制选择三态输出、常开或常闭三种状态。 r a m 块 中小规模的存储模块可以简化系统的设计,提高系统的工作速度,而且可以 减少数据存储的成本,使芯片内外数据信息的交换更可靠。 可编程互连资源p i 基于黜的蓝牙h c i - u a r t 控制接口设计 可编程互连资源包括各种长度的金属连线段和一些可编程连接开关,他们将 各个c l b 之间和c l b 与i o b 之间互相连接起来,构成各种复杂功能的系统。 1 2 6f p g a 的设计方法及软件平台 传统的设计手段是采用原理图输入的方式进行的。几十年前,当时所做的复 杂数字逻辑电路及系统的设计规模比较小也比较简单,其中用到的f p g a 或a s i c 设计工作往往只能采用厂家提供的专用电路图输入工具来进行。为了满足设计性 能指标,工程师往往需要花费好几天或更长时间进行艰苦的手工布线。工程师还 得非常熟悉所选器件的内部结构和外部引线特点,才能到达设计要求。这种低水 平的设计方法大大延长了设计周期。 但是,设计人员不可能在刚开始的时候,就已清楚的知道本次工程采用哪一 个f p g a 厂商地哪一特定型号器件,而设计往往是从功能描述开始地。设计人员 首先要考虑规划出能完成某一具体功能、满足自己产品系统设计要求地某一功能 模块,利用某种方式把功能描述出来,通过功能仿真以验证设计思路地正确性。 当所设计功能满足需要时,再考虑以何种方式完成所需要地设计,并能直接使用 功能定义地描述。这就是自顶而下的设计方法,简单分为功能定义、设计输入、 功能仿真、逻辑综合、综合后仿真、布局布线、布局布线后仿真、下载验证等8 个步骤【引。 本次实验,逻辑设计使用的软件为x i l i n x 公司的i s e 8 2 i 。i s e 的主要特点【9 】: i s e 是一个集成环境,可以完成整个f p g a 开发过程。i s e 集成了很多著名的 f p g a 设计工具,根据设计流程合理应用这些工具,会使工程师的设计工作如鱼得 水。 i s e 界面风格简洁流畅,易学易用。i s e 的界面秉承了可视化编程技术。界面 根据设计流程而组织,整个设计过程只需按照界面组织结构依次点击相应的按钮 或相应的选项即可。 i s e 有丰富的在线帮助信息,结合x i l i n x 的技术网站,一般设计过程中可能遇 到的问题都能得到很好的解决。 第1 章绪论 强大的设计辅助功能。i s e 秉承了x i l i n x 设计软件的强大辅助功能。在编写代 码时可以使用编写向导生成文件头和模块框架,也可以使用语言模板帮助编写代 码。在图形输入时可以使用e c s 的辅助项帮助设计原理图。另外,i s e 的s y s t e m g e n e r a t o r 可以方便地生成i pc o r e ( i p 核) 与高效模块为用户所用,大大减少了设计 者的工作量,提高了设计效率和质量。 i s e 的集成工具主要分为设计输入工具、综合工具、仿真工具、实现工具和辅 助设计工具等5 类、h d le d i t o r 可以完成设计电路的h d l 语言的输入,能根据语 法来彩色显示关键字;自带仿真器做仿真,特点是仿真速度快,仿真精度高;x s t 做综合。 1 3 主要工作及内容安排 本论文主要目的是设计一个蓝牙主机控制器接口与u a r t 相集成的控制接口 的模块,它支持各种标准异步串行传输速率的r s 2 3 2 协议:接收和发送数据的串 并转换;奇偶检验;启停标记的加载与删除;独立的系统主时钟和接收时钟;独 立的传送、接收状态;可编程波特率;可编程串行数据格式;蓝牙模块设备链路 的建立与断开。 本课题的设计思路如图1 1 所示。 图1 1 设计思路 f i g 1 1i d e ao f d e s i g n 论文的章节内容安排如下: 第一章是绪论,介绍课题提出的背景,并针对存在的问题,提出本文的研究 基于f p g a 的蓝牙h c i - u a r t 控制接口设计 意义和研究思路。 一 第二章阐述了本课题的理论基础,包括u a r t 的通信原理、异步通信、蓝牙 h c iu a r t 传输层协议以及h c i 控制接口原理。 第三章详细说明了控制接口和u a r t 的结构设计,包括系统设计和各个子模 块的设计。 第四章是u a r t 和控制接口的功能模拟及时序仿真。对各个模块和整个系统 的代码进行了r t l 级功能模拟和时序仿真,给出了仿真图。 第五章利用f p g a 对系统验证。介绍了整个流程,并给出了验证结果。 第六章是课题总结。总结了课题取得的成果、设计心得以及对课题以后需要 进行的工作进行展望。 第2 章u a r t 及蓝牙h c i 控制原理 第2 章u a r t 及蓝牙h ci 控制原理 2 1u a r t 原理 通用异步接收发送器u a r t 用于将并行数据转换成串行形式;串行输入时, 又将串行形式转换成并行形式。图2 1 显示u a r t 基本结构,它的组成包括【l o 】: 图2 1u a r t 实现原理图 f i g 2 1u a r tp r i n c i p l ec h a r t ( 1 ) u a r t 内核 内核模块是u a r t 设计的核心部分。内部为控制逻辑。它接收来自微处理机 或上层部件的控制信号,执行其所要求的操作,并输出状态信息和控制信号。在 数据接收时,u a r t 内核模块负责控制波特率发生器和移位寄存器,使得移位寄 存器在波特率时钟的驱动下同步的接收并且保存r s 2 3 2 接收端口上的串行数据。 在数据发送时,u a r t 内核模块首先根据待发送的数据和奇偶校验位的设置产生 完整的发送序列( 包括起始位、数据位、奇偶校验位和停止位) ,之后控制移位 基于f l e a 的蓝牙h c i - u a r t 控制接口设计 寄存器将序列加载到移位寄存器的内部寄存器里,最后再控制波特率发生器驱动 移位寄存器将数据串行输出。 ( 2 ) 信号监测器 信号检测器用于对串行输入端的输入信号进行实时监测,一旦发现新的数据 则立即发送信号通知u a r t 内核。 ( 3 ) 移位寄存器 移位寄存器的作用是存储输入或者输出的数据。发送时,微处理器将数据写 入发送数据寄存器,然后由发送逻辑格式化数据,包括起始位、奇偶校验位和要 求的停止位。格式化后的数据送到发送移位寄存器,然后按规定的波特率串行输 出。接收时,串行输入设备通过u a r t 的串行输入引线发送串行数据到u a r t 的 接收器。一旦串行输入引线由高电平变到低电平,接收器就把它解释为起始位, 并把后续的位移入接收移位寄存器。当接收一个完整数据后,就并行的送往内核 的接收移位寄存器,并通知微处理器或上层部件读取它。 ( 4 ) 波特率发生器 u a r t 的接收和发送是按照相同的波特率进行收发的。波特率发生器产生的 时钟频率是根据给定的晶振时钟和要求的波特率算出的。 ( 5 ) 奇偶校验器 为了使传送过程更可靠,在u a r t 中设置了错误标志奇偶错误。在接收 时,奇偶校验器检查接收到的每一个字符码中“l ”的个数,若不符合要求,则发 出奇偶校验出错信息。发送时则根据待发送数据计算出奇偶校验标志,用于内核 加载到发送数据。 ( 6 ) 总线选择器 总线选择模块选择奇偶校验器的输入是数据发送总线还是数据接收总线。 ( 7 ) 计数器 计数器模块的功能是可控的在输入时钟驱动下进行计数,当达到计数上阈时 给u a r t 内核一个提示信号。 第2 章u a r t 及蓝牙h c i 控制原理 2 2 通信基础 基本的通信方式有两种,串行通信和并行通信。 2 2 1 串行通信基本知识 串行通信是把传输的数据一位一位地顺序传送的一种通信方式。在传送一个 字节数据时,每次将数据的一位发送至单根线上;或者反过来,从单根线上每次 一位地接收数据,然后拼成一个字节数据。因其需要的数据线少、传送距离长、 可靠性高而广泛用于大量的外设与计算机之间的通信,如终端、打印机、磁盘等。 但由于传送信息数据格式繁琐、传送速度慢、传送效率低、实时性差,而无法应 用于高速实时数据通信。串行通信的数据传输方式有如下三种【l l 】: 单工方式 单工方式只允许数据按一个固定的方向传送。采用这种方式时,就已经确定 了通信方式中的一方为接收端,并且这种方式是不可改变的。 半双工方式 这种方式下的通信双方a 和b 都具有接收和发送能力。但通信线只有一条, 因此在特定的时刻双方只能有一个发送而另一个接收或一方接收,另一方发送。 决不允许a 和b 同时接收或同时发送。 全双工方式 全双工具有两条独立的通信线,一条专门用作发送,另一条为接收。这就克 服了单工或半双工带来的a 和b 双方不能同时既发送又接收的缺点。因此,为保 证实现全双工通信任务,a 和b 双方的串行接口必须具备一套完全独立的发送器 和接收器。 串行通信从类型上又分为同步通信和异步通信。 同步通信 同步通信的基本特征是发送与接收时钟始终保持严格的同步,但它不像并行 通信每次传送一个完整的字节或字,串行通信只是每次传送其中的某一位。因此, 要让接收设备知道是哪一位,就要进行同步。进行数据传送开始的同步叫起始同 基于黜的蓝牙h c i - i7 a r t 控制接口设计 步。通常,发送一方面用一到两个同步字符指示每一个同步数据流的开始,用以 保证发送和接收寄存器的初始同步,然后双方同时开始计数。 同步通信中,同步接收器在一次同步串行传送开始时,它进入“监视同步字 符串的所谓搜索方式”。一旦它监测到已知的同步字符后,就从这一点开始按8 位计数。同步传输时要求发送一方不间断地连续发送数据,即数据流的中间不可 以产生短缺,否则就要产生错误。如果发送设备来不及准备下一个要发送的数据, 则在本数据发送完后,传送被填充进去的同步字符,直到下一个数据能传送时为 止。在同步接收器接收完所有指定数据后,又进入位串“搜索 方式,寻找下一 次通信的同步字符。 异步通信 异步通信既不需要同步字符,也不需要发送设备保持数据流的连续性,可以 准备一个数据发送一个,但要求发送的每一位数据都必须经过事前格式化,在其 前后分别加“起始位 和“停止位”,用以指示每一数据的开始和结束。 在异步串行数据传送中,在微处理器与外设之问有两项约定: 通信时序串行总线在空闲时候保持逻辑“1 ”状态,当需要传送一个字符时, 首先会发送一个逻辑“0 的起始位,表示开始发送数据;之后,就逐个发送数据 位、奇偶校验位和停止位( 逻辑为“l ) 。例如,通过串行通信发送一个字符“l ( 二进制为0 0 11 0 0 0 1 ) ,设置为8 位数据位,l 位奇偶校验位,1 位停止位,则发 送时序图如图2 2 所示。 zzz _ zzz 直r ;0 01 1 0 001 l l y i 起始位 字符“1 ”对应 l 停止位 空闲状态的二进制码 奇偶校验位空闲状态 图2 2 通信时序图 f i g 2 2c o m m u n i c a t i o ns e q u e n c ec h a n 第2 章u a r t 及蓝牙h c i 控制原理 波特率所谓波特率就是数据传送速率的规定。例如,数据传送的速率是9 6 0 字符秒,而每一个字符如按规定包含有l o 个数据位,则传送的波特率为: 1 0 x 9 6 0 = 9 6 0 0 位秒= 9 6 0 0 ( 波特) 则每一位的传送时间,即波特率的倒数,应为: t d = 1 9 6 0 0 = o 1 0 4 m s 。 异步通信中,由于要给每一位数据位加起始位、停止位,故传送效率不及同 步通信高。但对接收与发送时钟的同步要求可以低一点,因

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论